view fpga/sniffer-basic/clk_edge.v @ 6:7db5fd6646df

fpga/sniffer-basic: initial version
author Mychaela Falconia <falcon@freecalypso.org>
date Mon, 21 Aug 2023 00:52:00 +0000
parents
children
line wrap: on
line source

/*
 * This Verilog module captures the logic that detects rising edges of SIM_CLK
 * for the purpose of counting them.
 */

module clk_edge (IntClk, SIM_CLK_sync, SIM_CLK_edge);

input IntClk;
input SIM_CLK_sync;
output SIM_CLK_edge;

reg prev_state;

always @(posedge IntClk)
	prev_state <= SIM_CLK_sync;

assign SIM_CLK_edge = SIM_CLK_sync && !prev_state;

endmodule