comparison cdg-hybrid/cdginc/malias.cdg @ 14:35f7a1dc9f7d

cdg-hybrid: import from Magnetite
author Mychaela Falconia <falcon@freecalypso.org>
date Fri, 16 Oct 2020 07:15:38 +0000
parents
children
comparison
equal deleted inserted replaced
13:83146a4ae927 14:35f7a1dc9f7d
1 /*
2 +--------------------------------------------------------------------------+
3 | PROJECT : PROTOCOL STACK |
4 | FILE : malias.cdg |
5 | SOURCE : "msg\rr_com.mdf" |
6 | LastModified : "2004-01-16" |
7 | IdAndVersion : "8010.606.02.008" |
8 | SrcFileTime : "Wed Nov 28 10:20:42 2007" |
9 | Generated by CCDGEN_2.5.5A on Fri Oct 14 21:41:52 2016 |
10 | !!DO NOT MODIFY!!DO NOT MODIFY!!DO NOT MODIFY!! |
11 +--------------------------------------------------------------------------+
12 */
13
14 /* 0*/ {"a5_1"},
15 /* 1*/ {"a5_2"},
16 /* 2*/ {"a5_3"},
17 /* 3*/ {"a5_4"},
18 /* 4*/ {"a5_5"},
19 /* 5*/ {"a5_6"},
20 /* 6*/ {"a5_7"},
21 /* 7*/ {""},
22 /* 8*/ {"rev_lev"},
23 /* 9*/ {"es_ind"},
24 /* 10*/ {"a5_1"},
25 /* 11*/ {"rf_pow_cap"},
26 /* 12*/ {""},
27 /* 13*/ {"rev_lev"},
28 /* 14*/ {"es_ind"},
29 /* 15*/ {"a5_1"},
30 /* 16*/ {"rf_pow_cap"},
31 /* 17*/ {""},
32 /* 18*/ {"ps"},
33 /* 19*/ {"ss_screen"},
34 /* 20*/ {"mt_pp_sms"},
35 /* 21*/ {"vbs"},
36 /* 22*/ {"vgcs"},
37 /* 23*/ {"egsm"},
38 /* 24*/ {"class3"},
39 /* 25*/ {""},
40 /* 26*/ {"lcsva"},
41 /* 27*/ {"ucs2_treat"},
42 /* 28*/ {"solsa"},
43 /* 29*/ {"cmsp"},
44 /* 30*/ {"a5_3"},
45 /* 31*/ {"a5_2"},
46 /* 32*/ {"dtm_g_ms_class"},
47 /* 33*/ {"mac_support"},
48 /* 34*/ {"dtm_e_ms_class"},
49 /* 35*/ {"mod"},
50 /* 36*/ {"egde_pow1"},
51 /* 37*/ {"egde_pow2"},
52 /* 38*/ {"gsm400_supp"},
53 /* 39*/ {"gsm400_cap"},
54 /* 40*/ {"sms_val"},
55 /* 41*/ {"sm_val"},
56 /* 42*/ {"assist_eotd"},
57 /* 43*/ {"based_eotd"},
58 /* 44*/ {"assist_gps"},
59 /* 45*/ {"based_gps"},
60 /* 46*/ {"conv_gps"},
61 /* 47*/ {""},
62 /* 48*/ {"pow_class"},
63 /* 49*/ {"gprs_ms_class"},
64 /* 50*/ {"gprs_eda"},
65 /* 51*/ {"gsm_ms_class"},
66 /* 52*/ {""},
67 /* 53*/ {"edge_ms_class"},
68 /* 54*/ {""},
69 /* 55*/ {"hscsd_ms_class"},
70 /* 56*/ {""},
71 /* 57*/ {"gprs_ms_class"},
72 /* 58*/ {"dtm_g"},
73 /* 59*/ {"dtm_g_ms_class"},
74 /* 60*/ {"ecsd_ms_class"},
75 /* 61*/ {""},
76 /* 62*/ {"egprs_ms_class"},
77 /* 63*/ {"dtm_e"},
78 /* 64*/ {"dtm_e_ms_class"},
79 /* 65*/ {"sms_val"},
80 /* 66*/ {"sm_val"},
81 /* 67*/ {"hscsd_ms_class"},
82 /* 68*/ {"gprs_struct"},
83 /* 69*/ {"sms_sm_value"},
84 /* 70*/ {"pow_class"},
85 /* 71*/ {"a5_bits"},
86 /* 72*/ {"es_ind"},
87 /* 73*/ {"ps"},
88 /* 74*/ {"vgcs"},
89 /* 75*/ {"vbs"},
90 /* 76*/ {"ms_struct"},
91 /* 77*/ {"acc_tech_typ"},
92 /* 78*/ {"acc_cap"},
93 /* 79*/ {""},
94 /* 80*/ {"acc_tech_typ"},
95 /* 81*/ {"acc_cap"},
96 /* 82*/ {"flag_ra_cap2"},
97 /* 83*/ {"ra_cap2"},
98 /* 84*/ {"pow_class4"},
99 /* 85*/ {"egde_pow1"},
100 /* 86*/ {"egde_pow2"},
101 /* 87*/ {"setbands"},
102 /* 88*/ {"bands"},
103 /* 89*/ {"rf_power"},
104 /* 90*/ {"rf_ms"},
105 /* 91*/ {"es_ind"},
106 /* 92*/ {"ps"},
107 /* 93*/ {"mt_pp_sms"},
108 /* 94*/ {"lcsva"},
109 /* 95*/ {"solsa"},
110 /* 96*/ {"cmsp"},
111 /* 97*/ {"mod"},
112 /* 98*/ {"mac_support"},
113 /* 99*/ {"meas"},
114 /* 100*/ {"ext_meas"},
115 /* 101*/ {"compact"},
116 /* 102*/ {"vbs"},
117 /* 103*/ {"vgcs"},
118 /* 104*/ {"ucs2_treat"},
119 /* 105*/ {"ss_screen"},
120 /* 106*/ {"sms_val"},
121 /* 107*/ {"sm_val"},
122 /* 108*/ {"a5_bits"},
123 /* 109*/ {""},
124 /* 110*/ {"assist_eotd"},
125 /* 111*/ {"based_eotd"},
126 /* 112*/ {"assist_gps"},
127 /* 113*/ {"based_gps"},
128 /* 114*/ {"conv_gps"},
129 /* 115*/ {"gprs_eda"},
130 /* 116*/ {"egprs_eda"},
131 /* 117*/ {""},
132 /* 118*/ {"dec"},
133 /* 119*/ {"inc"},
134 /* 120*/ {"pmax"},
135 /* 121*/ {""},
136 /* 122*/ {"mb_value"},
137 /* 123*/ {"a5_7"},
138 /* 124*/ {"a5_6"},
139 /* 125*/ {"a5_5"},
140 /* 126*/ {"a5_4"},
141 /* 127*/ {"radio_cap_2"},
142 /* 128*/ {""},
143 /* 129*/ {"radio_cap_1"},
144 /* 130*/ {"rgsm_class"},
145 /* 131*/ {"ms_class"},
146 /* 132*/ {"ucs2_treat"},
147 /* 133*/ {"ext_meas"},
148 /* 134*/ {"measurement"},
149 /* 135*/ {"pos_method"},
150 /* 136*/ {"edge_ms_class"},
151 /* 137*/ {"egde_struct"},
152 /* 138*/ {"gsm400_struct"},
153 /* 139*/ {"gsm850_cap"},
154 /* 140*/ {"pcs1900_cap"},
155 /* 141*/ {"umts_fdd"},
156 /* 142*/ {"umts_tdd"},
157 /* 143*/ {"cdma2000"},
158 /* 144*/ {"dtm_ms"},
159 /* 145*/ {"single_band"},
160 /* 146*/ {"gsm700_cap"},
161 /* 147*/ {""},
162 /* 148*/ {"ext_len"},
163 /* 149*/ {"spare_ext"},
164 /* 150*/ {"nmo"},
165 /* 151*/ {"t3168"},
166 /* 152*/ {"t3192"},
167 /* 153*/ {"drx_t_max"},
168 /* 154*/ {"ab_type"},
169 /* 155*/ {"ctrl_ack_type"},
170 /* 156*/ {"bs_cv_max"},
171 /* 157*/ {"pan_struct"},
172 /* 158*/ {"gprs_ext_bits"},
173 /* 159*/ {"msg_type"},
174 /* 160*/ {"rf_cap"},
175 /* 161*/ {"alpha"},
176 /* 162*/ {"gamma"},
177 /* 163*/ {"apdu_info"},
178 /* 164*/ {""},
179 /* 165*/ {"c_r"},
180 /* 166*/ {"f_seg"},
181 /* 167*/ {"l_seg"},
182 /* 168*/ {"protoc_ident"},
183 /* 169*/ {"bcch_arfcn_hi"},
184 /* 170*/ {"ncc"},
185 /* 171*/ {"bcc"},
186 /* 172*/ {"bcch_arfcn_lo"},
187 /* 173*/ {""},
188 /* 174*/ {"pow_ctrl"},
189 /* 175*/ {"dtx_b"},
190 /* 176*/ {"rlt"},
191 /* 177*/ {"dtx2_s"},
192 /* 178*/ {"pow_ctrl"},
193 /* 179*/ {"dtx_s"},
194 /* 180*/ {"rlt"},
195 /* 181*/ {"cell_resel_hyst"},
196 /* 182*/ {"ms_txpwr_max_cch"},
197 /* 183*/ {"acs"},
198 /* 184*/ {"neci"},
199 /* 185*/ {"rxlev_access_min"},
200 /* 186*/ {"chan_type"},
201 /* 187*/ {"tn"},
202 /* 188*/ {"tsc"},
203 /* 189*/ {"hop"},
204 /* 190*/ {""},
205 /* 191*/ {"arfcn"},
206 /* 192*/ {"maio"},
207 /* 193*/ {"hsn"},
208 /* 194*/ {"cn2"},
209 /* 195*/ {"cn1"},
210 /* 196*/ {"cn3"},
211 /* 197*/ {"cn4"},
212 /* 198*/ {"or_ty"},
213 /* 199*/ {""},
214 /* 200*/ {""},
215 /* 201*/ {""},
216 /* 202*/ {"crd_prio"},
217 /* 203*/ {"rlc_mode"},
218 /* 204*/ {"llc_fr_type"},
219 /* 205*/ {"rbw"},
220 /* 206*/ {"rlc_c_oct"},
221 /* 207*/ {""},
222 /* 208*/ {"key_seq"},
223 /* 209*/ {"algo_ident"},
224 /* 210*/ {"sc"},
225 /* 211*/ {""},
226 /* 212*/ {"cr"},
227 /* 213*/ {"codec_thr"},
228 /* 214*/ {"codec_hyst"},
229 /* 215*/ {"mscr"},
230 /* 216*/ {"att"},
231 /* 217*/ {"bs_ag_blks_res"},
232 /* 218*/ {"ccch_conf"},
233 /* 219*/ {""},
234 /* 220*/ {"bs_pa_mfrms"},
235 /* 221*/ {"t3212"},
236 /* 222*/ {"gcr"},
237 /* 223*/ {"sf"},
238 /* 224*/ {"af"},
239 /* 225*/ {"call_prio"},
240 /* 226*/ {"group_ckn"},
241 /* 227*/ {"sc_used"},
242 /* 228*/ {"dtx_used"},
243 /* 229*/ {"rx_lev_ncell"},
244 /* 230*/ {""},
245 /* 231*/ {"low_arfcn"},
246 /* 232*/ {"inc_skip"},
247 /* 233*/ {"flist"},
248 /* 234*/ {"freq_lower"},
249 /* 235*/ {"freq_higher"},
250 /* 236*/ {"freq_range"},
251 /* 237*/ {"arfcn"},
252 /* 238*/ {""},
253 /* 239*/ {"num_range"},
254 /* 240*/ {"freq_range"},
255 /* 241*/ {"ra_color"},
256 /* 242*/ {"si13_pos"},
257 /* 243*/ {"c_val"},
258 /* 244*/ {"rxqual"},
259 /* 245*/ {""},
260 /* 246*/ {"sign_var"},
261 /* 247*/ {"hsn"},
262 /* 248*/ {"rfln"},
263 /* 249*/ {"hop"},
264 /* 250*/ {"allo_len6"},
265 /* 251*/ {"allo_bmp6"},
266 /* 252*/ {"arfcn_idx"},
267 /* 253*/ {""},
268 /* 254*/ {"res_ack"},
269 /* 255*/ {"chan_type"},
270 /* 256*/ {"tn"},
271 /* 257*/ {"tsc"},
272 /* 258*/ {"hop"},
273 /* 259*/ {""},
274 /* 260*/ {"arfcn"},
275 /* 261*/ {"maio"},
276 /* 262*/ {"hsn"},
277 /* 263*/ {"mac"},
278 /* 264*/ {"flag"},
279 /* 265*/ {"ma_len"},
280 /* 266*/ {"mac"},
281 /* 267*/ {"freq_short_list2"},
282 /* 268*/ {"chan_type"},
283 /* 269*/ {"tn"},
284 /* 270*/ {"tsc"},
285 /* 271*/ {"hop"},
286 /* 272*/ {""},
287 /* 273*/ {"arfcn"},
288 /* 274*/ {"maio"},
289 /* 275*/ {"hsn"},
290 /* 276*/ {"hop_freq"},
291 /* 277*/ {"dgcr"},
292 /* 278*/ {"gr_ch_desc"},
293 /* 279*/ {"fp_len"},
294 /* 280*/ {""},
295 /* 281*/ {"maio"},
296 /* 282*/ {"mac"},
297 /* 283*/ {"ext_ra"},
298 /* 284*/ {"mcc"},
299 /* 285*/ {"mnc"},
300 /* 286*/ {"lac"},
301 /* 287*/ {"lsa_id"},
302 /* 288*/ {"lsa_id_add"},
303 /* 289*/ {"mac_mode"},
304 /* 290*/ {"cod_scheme"},
305 /* 291*/ {"mac"},
306 /* 292*/ {"ident_type"},
307 /* 293*/ {"odd_even"},
308 /* 294*/ {"ident_dig"},
309 /* 295*/ {""},
310 /* 296*/ {"tmsi_1"},
311 /* 297*/ {"diff"},
312 /* 298*/ {""},
313 /* 299*/ {"tlv_len"},
314 /* 300*/ {"mr_vers"},
315 /* 301*/ {"nscb"},
316 /* 302*/ {"icmi"},
317 /* 303*/ {""},
318 /* 304*/ {"st_mode"},
319 /* 305*/ {"set_amr"},
320 /* 306*/ {""},
321 /* 307*/ {"cod_prop"},
322 /* 308*/ {""},
323 /* 309*/ {""},
324 /* 310*/ {"dab"},
325 /* 311*/ {"uab"},
326 /* 312*/ {"chan_set"},
327 /* 313*/ {""},
328 /* 314*/ {"ncm"},
329 /* 315*/ {"rx_lev_ncell"},
330 /* 316*/ {"bcch_ncell"},
331 /* 317*/ {"bsic"},
332 /* 318*/ {"ba_used"},
333 /* 319*/ {"dtx_used"},
334 /* 320*/ {"rxlev_full"},
335 /* 321*/ {""},
336 /* 322*/ {"meas_valid"},
337 /* 323*/ {"rxlev_sub"},
338 /* 324*/ {""},
339 /* 325*/ {"rxqual_full"},
340 /* 326*/ {"rxqual_sub"},
341 /* 327*/ {"num_ncell"},
342 /* 328*/ {"ncell"},
343 /* 329*/ {"nln_pch"},
344 /* 330*/ {"nln_status"},
345 /* 331*/ {"nln_pch"},
346 /* 332*/ {"gr_call_info"},
347 /* 333*/ {""},
348 /* 334*/ {"mcc"},
349 /* 335*/ {"prio_thr"},
350 /* 336*/ {"lsa_offs"},
351 /* 337*/ {"opt_mcc"},
352 /* 338*/ {"mnc"},
353 /* 339*/ {"lsa_param"},
354 /* 340*/ {"lsa_id_info"},
355 /* 341*/ {"cell_bar_qual"},
356 /* 342*/ {"cell_resel_offs"},
357 /* 343*/ {"temp_offs"},
358 /* 344*/ {"penalty_time"},
359 /* 345*/ {"p0"},
360 /* 346*/ {"pwr_ctrl_mode"},
361 /* 347*/ {"p0"},
362 /* 348*/ {"pr_mode"},
363 /* 349*/ {"p0"},
364 /* 350*/ {"pwr_ctrl_mode"},
365 /* 351*/ {"pr_mode"},
366 /* 352*/ {"pck_chan_type"},
367 /* 353*/ {"tn"},
368 /* 354*/ {"tsc"},
369 /* 355*/ {"hop"},
370 /* 356*/ {"indir"},
371 /* 357*/ {""},
372 /* 358*/ {"arfcn"},
373 /* 359*/ {"maio"},
374 /* 360*/ {"ma_num"},
375 /* 361*/ {"flag"},
376 /* 362*/ {""},
377 /* 363*/ {"ch_mark1"},
378 /* 364*/ {"hsn"},
379 /* 365*/ {""},
380 /* 366*/ {"pm"},
381 /* 367*/ {"pb"},
382 /* 368*/ {"tsc"},
383 /* 369*/ {"tn"},
384 /* 370*/ {"flag"},
385 /* 371*/ {"flag2"},
386 /* 372*/ {"arfcn"},
387 /* 373*/ {"maio"},
388 /* 374*/ {"pch_restruct"},
389 /* 375*/ {"nln_sacch"},
390 /* 376*/ {"call_prio"},
391 /* 377*/ {"nln_status"},
392 /* 378*/ {"mod"},
393 /* 379*/ {"rel_pos"},
394 /* 380*/ {"bcch_type"},
395 /* 381*/ {"pos"},
396 /* 382*/ {"poso"},
397 /* 383*/ {"flag"},
398 /* 384*/ {"it4"},
399 /* 385*/ {"flag1"},
400 /* 386*/ {"it5"},
401 /* 387*/ {"it6"},
402 /* 388*/ {"poss"},
403 /* 389*/ {""},
404 /* 390*/ {"pow"},
405 /* 391*/ {"atc"},
406 /* 392*/ {""},
407 /* 393*/ {"pow"},
408 /* 394*/ {"max_retrans"},
409 /* 395*/ {"tx_integer"},
410 /* 396*/ {"cell_bar_access"},
411 /* 397*/ {"re"},
412 /* 398*/ {"ac"},
413 /* 399*/ {"ra"},
414 /* 400*/ {"t1"},
415 /* 401*/ {"t3"},
416 /* 402*/ {"t2"},
417 /* 403*/ {"mcc"},
418 /* 404*/ {"mnc"},
419 /* 405*/ {"lac"},
420 /* 406*/ {"rac"},
421 /* 407*/ {"itp"},
422 /* 408*/ {"itpo"},
423 /* 409*/ {"nch_position"},
424 /* 410*/ {""},
425 /* 411*/ {"lsa_param"},
426 /* 412*/ {"cell_ident"},
427 /* 413*/ {"lsa_id_info"},
428 /* 414*/ {"flag"},
429 /* 415*/ {"break_ind"},
430 /* 416*/ {"si4_rest_octets_s"},
431 /* 417*/ {"opt_sel_par"},
432 /* 418*/ {"pow_offs"},
433 /* 419*/ {"gprs_indic"},
434 /* 420*/ {"si4_rest_octets_bs"},
435 /* 421*/ {""},
436 /* 422*/ {"opt_sel_par"},
437 /* 423*/ {"pow_offs"},
438 /* 424*/ {"gprs_indic"},
439 /* 425*/ {"si4_rest_octets_s"},
440 /* 426*/ {""},
441 /* 427*/ {"sched"},
442 /* 428*/ {""},
443 /* 429*/ {"bcch_cm"},
444 /* 430*/ {"si_cf"},
445 /* 431*/ {"flag"},
446 /* 432*/ {"si13_cm"},
447 /* 433*/ {"gprs_ma"},
448 /* 434*/ {"flag1"},
449 /* 435*/ {"rac"},
450 /* 436*/ {"spgc"},
451 /* 437*/ {"prio_acc_thr"},
452 /* 438*/ {"nco"},
453 /* 439*/ {"gprs_cell_opt"},
454 /* 440*/ {"alpha"},
455 /* 441*/ {"t_avg_w"},
456 /* 442*/ {"t_avg_t"},
457 /* 443*/ {"pc_meas_chan"},
458 /* 444*/ {"n_avg_i"},
459 /* 445*/ {"psi1_period"},
460 /* 446*/ {"pbcch_des"},
461 /* 447*/ {"si13_info"},
462 /* 448*/ {""},
463 /* 449*/ {"lsa_par_id"},
464 /* 450*/ {""},
465 /* 451*/ {"tn"},
466 /* 452*/ {"alpha_gamma"},
467 /* 453*/ {"p0_pwr_ctrl_mode"},
468 /* 454*/ {"t1"},
469 /* 455*/ {"t3"},
470 /* 456*/ {"t2"},
471 /* 457*/ {"meas_start"},
472 /* 458*/ {"meas_int"},
473 /* 459*/ {"meas_bmp"},
474 /* 460*/ {"nci"},
475 /* 461*/ {"rot"},
476 /* 462*/ {"si"},
477 /* 463*/ {"gamma"},
478 /* 464*/ {"alpha"},
479 /* 465*/ {"tagged_gamma"},
480 /* 466*/ {"call_prio"},
481 /* 467*/ {"chan_needed3_4"},
482 /* 468*/ {"nln_stat"},
483 /* 469*/ {"tagged_call_prio"},
484 /* 470*/ {""},
485 /* 471*/ {"cn3"},
486 /* 472*/ {"nln_stat"},
487 /* 473*/ {"tagged_call_prio"},
488 /* 474*/ {"pck_page3"},
489 /* 475*/ {""},
490 /* 476*/ {"nln_stat"},
491 /* 477*/ {"tagged_call_prio"},
492 /* 478*/ {"gr_call_info"},
493 /* 479*/ {"pck_page1"},
494 /* 480*/ {"pck_page2"},
495 /* 481*/ {""},
496 /* 482*/ {"usf"},
497 /* 483*/ {""},
498 /* 484*/ {"ta"},
499 /* 485*/ {"ta_idx"},
500 /* 486*/ {"ta_nm"},
501 /* 487*/ {"ta"},
502 /* 488*/ {"ta_idx_nm"},
503 /* 489*/ {"mac_mode"},
504 /* 490*/ {"rlc_mode"},
505 /* 491*/ {"ts_all"},
506 /* 492*/ {"pck_ta"},
507 /* 493*/ {"pwr_ctrl"},
508 /* 494*/ {"tfi"},
509 /* 495*/ {"pck_meas_par"},
510 /* 496*/ {""},
511 /* 497*/ {"tfi"},
512 /* 498*/ {"poll"},
513 /* 499*/ {"allo_flag"},
514 /* 500*/ {"usf"},
515 /* 501*/ {"usf_gran"},
516 /* 502*/ {"p0_prmode"},
517 /* 503*/ {"allo_len5"},
518 /* 504*/ {"allo_bmp5"},
519 /* 505*/ {"p0_bts_prmode"},
520 /* 506*/ {"ccm"},
521 /* 507*/ {"tlli_bcc"},
522 /* 508*/ {"alpha"},
523 /* 509*/ {"gamma"},
524 /* 510*/ {"ta_idx"},
525 /* 511*/ {"tbf_start_time"},
526 /* 512*/ {"tfi"},
527 /* 513*/ {"rlc_mode"},
528 /* 514*/ {"alpha"},
529 /* 515*/ {"gamma"},
530 /* 516*/ {"poll"},
531 /* 517*/ {"ta_valid"},
532 /* 518*/ {"ded_tlli"},
533 /* 519*/ {"tfi_ass_rlc"},
534 /* 520*/ {"ta_idx"},
535 /* 521*/ {"tbf_start_time"},
536 /* 522*/ {"p0_bts_prmode"},
537 /* 523*/ {"usf"},
538 /* 524*/ {"gamma"},
539 /* 525*/ {"usf_gamma"},
540 /* 526*/ {"ext_dyn_all"},
541 /* 527*/ {"p0"},
542 /* 528*/ {"usf_gran"},
543 /* 529*/ {"tfi"},
544 /* 530*/ {"rlc_blks"},
545 /* 531*/ {"flag_pp"},
546 /* 532*/ {"tagged_usf_tn"},
547 /* 533*/ {"alpha"},
548 /* 534*/ {"usf_gamma_csn1"},
549 /* 535*/ {"inband_not"},
550 /* 536*/ {"inband_pag"},
551 /* 537*/ {"tm"},
552 /* 538*/ {"group_ckn"},
553 /* 539*/ {""},
554 /* 540*/ {"blp"},
555 /* 541*/ {"allo_len7"},
556 /* 542*/ {"allo_bmp7"},
557 /* 543*/ {"tfi"},
558 /* 544*/ {"final"},
559 /* 545*/ {"dl_ctrl_tn"},
560 /* 546*/ {"p0_pwr_ctrl_mode"},
561 /* 547*/ {"flag"},
562 /* 548*/ {"ts_all"},
563 /* 549*/ {"pwr_ctrl"},
564 /* 550*/ {"hdm"},
565 /* 551*/ {""},
566 /* 552*/ {"flag1"},
567 /* 553*/ {"fa_blk_ia"},
568 /* 554*/ {"allo_var"},
569 /* 555*/ {"ccm"},
570 /* 556*/ {"tlli_bcc"},
571 /* 557*/ {"pck_ta"},
572 /* 558*/ {"flag"},
573 /* 559*/ {"flag1"},
574 /* 560*/ {"dyn_alloc"},
575 /* 561*/ {"single_alloc"},
576 /* 562*/ {"fix_alloc"},
577 /* 563*/ {""},
578 /* 564*/ {"alpha"},
579 /* 565*/ {"gamma"},
580 /* 566*/ {""},
581 /* 567*/ {"tbf_start_time"},
582 /* 568*/ {"p0_bts_prmode"},
583 /* 569*/ {"flag"},
584 /* 570*/ {"tfi_ass_alloc"},
585 /* 571*/ {"sngl_block_alloc"},
586 /* 572*/ {"flag"},
587 /* 573*/ {"flag1"},
588 /* 574*/ {"pck_upl_ass_ia"},
589 /* 575*/ {"pck_downl_ass_ia"},
590 /* 576*/ {"ia_2nd_part"},
591 /* 577*/ {"opt_sel_par"},
592 /* 578*/ {"pow_offs"},
593 /* 579*/ {"si2ter_ind"},
594 /* 580*/ {"es_ind_tag"},
595 /* 581*/ {"if_and_where"},
596 /* 582*/ {"gprs_indic"},
597 /* 583*/ {""},
598 /* 584*/ {"flag_2bit"},
599 /* 585*/ {"ia_freq_par"},
600 /* 586*/ {"ia_assign_par"},
601 /* 587*/ {""},
602 /* 588*/ {"pch_nch_info"},
603 /* 589*/ {"vbs_vgcs_opt"},
604 /* 590*/ {""},
605 /* 591*/ {"msg_type"},
606 /* 592*/ {"apdu_id"},
607 /* 593*/ {"apdu_flags"},
608 /* 594*/ {"apdu_data"},
609 /* 595*/ {"msg_type"},
610 /* 596*/ {"chan_desc"},
611 /* 597*/ {"mob_alloc"},
612 /* 598*/ {"start_time"},
613 /* 599*/ {"msg_type"},
614 /* 600*/ {"chan_desc"},
615 /* 601*/ {"pow_cmd"},
616 /* 602*/ {"freq_list_after"},
617 /* 603*/ {"cell_chan_desc"},
618 /* 604*/ {"multislot_alloc"},
619 /* 605*/ {"chan_mode"},
620 /* 606*/ {"chan_mode2"},
621 /* 607*/ {"chan_mode3"},
622 /* 608*/ {"chan_mode4"},
623 /* 609*/ {"chan_mode5"},
624 /* 610*/ {"chan_mode6"},
625 /* 611*/ {"chan_mode7"},
626 /* 612*/ {"chan_mode8"},
627 /* 613*/ {"chan_desc_after_2"},
628 /* 614*/ {"chan_mode_2"},
629 /* 615*/ {"mob_alloc_after"},
630 /* 616*/ {"start_time"},
631 /* 617*/ {"freq_list_before"},
632 /* 618*/ {"chan_desc_before"},
633 /* 619*/ {"chan_desc_before_2"},
634 /* 620*/ {"freq_chan_seq"},
635 /* 621*/ {"mob_alloc_before"},
636 /* 622*/ {"ciph_mode_set"},
637 /* 623*/ {"vgcs_tmi"},
638 /* 624*/ {"multirate_conf"},
639 /* 625*/ {"msg_type"},
640 /* 626*/ {"rr_cause"},
641 /* 627*/ {"msg_type"},
642 /* 628*/ {"rr_cause"},
643 /* 629*/ {"msg_type"},
644 /* 630*/ {"chan_desc"},
645 /* 631*/ {"chan_mode"},
646 /* 632*/ {"vgcs_tmi"},
647 /* 633*/ {"multirate_conf"},
648 /* 634*/ {"msg_type"},
649 /* 635*/ {"chan_desc"},
650 /* 636*/ {"chan_mode"},
651 /* 637*/ {"msg_type"},
652 /* 638*/ {"rr_cause"},
653 /* 639*/ {"ba_range"},
654 /* 640*/ {"group_chan_desc"},
655 /* 641*/ {"group_ckn"},
656 /* 642*/ {"gprs_resum"},
657 /* 643*/ {"ba_list_pref"},
658 /* 644*/ {"msg_type"},
659 /* 645*/ {"ciph_mode_set"},
660 /* 646*/ {"ciph_res"},
661 /* 647*/ {"msg_type"},
662 /* 648*/ {"mob_ident"},
663 /* 649*/ {"msg_type"},
664 /* 650*/ {"mob_class_2"},
665 /* 651*/ {"mob_class_3"},
666 /* 652*/ {"msg_type"},
667 /* 653*/ {"msg_type"},
668 /* 654*/ {"multislot_alloc"},
669 /* 655*/ {"chan_mode"},
670 /* 656*/ {"chan_mode2"},
671 /* 657*/ {"chan_mode3"},
672 /* 658*/ {"chan_mode4"},
673 /* 659*/ {"chan_mode5"},
674 /* 660*/ {"chan_mode6"},
675 /* 661*/ {"chan_mode7"},
676 /* 662*/ {"chan_mode8"},
677 /* 663*/ {"msg_type"},
678 /* 664*/ {"msg_type"},
679 /* 665*/ {"rr_cause"},
680 /* 666*/ {"msg_type"},
681 /* 667*/ {"ext_meas_freq"},
682 /* 668*/ {"msg_type"},
683 /* 669*/ {"ext_meas_res"},
684 /* 670*/ {"msg_type"},
685 /* 671*/ {"chan_desc"},
686 /* 672*/ {"mob_alloc"},
687 /* 673*/ {"start_time"},
688 /* 674*/ {"cell_chan_desc"},
689 /* 675*/ {"msg_type"},
690 /* 676*/ {"ded_tlli"},
691 /* 677*/ {"rout_area_id"},
692 /* 678*/ {"susp_cause"},
693 /* 679*/ {"msg_type"},
694 /* 680*/ {"cell_desc"},
695 /* 681*/ {"chan_desc_after"},
696 /* 682*/ {"handov_ref"},
697 /* 683*/ {"pow_cmd_access"},
698 /* 684*/ {"synch_ind"},
699 /* 685*/ {"freq_short_list_after"},
700 /* 686*/ {"freq_list_after"},
701 /* 687*/ {"cell_chan_desc"},
702 /* 688*/ {"chan_mode"},
703 /* 689*/ {"chan_mode2"},
704 /* 690*/ {"chan_mode3"},
705 /* 691*/ {"chan_mode4"},
706 /* 692*/ {"chan_mode5"},
707 /* 693*/ {"chan_mode6"},
708 /* 694*/ {"chan_mode7"},
709 /* 695*/ {"chan_mode8"},
710 /* 696*/ {"chan_desc_after_2"},
711 /* 697*/ {"chan_mode_2"},
712 /* 698*/ {"freq_chan_seq_after"},
713 /* 699*/ {"mob_alloc_after"},
714 /* 700*/ {"start_time"},
715 /* 701*/ {"time_diff"},
716 /* 702*/ {"time_advance"},
717 /* 703*/ {"freq_short_list_before"},
718 /* 704*/ {"freq_list_before"},
719 /* 705*/ {"chan_desc_before"},
720 /* 706*/ {"chan_desc_before_2"},
721 /* 707*/ {"freq_chan_seq_before"},
722 /* 708*/ {"mob_alloc_before"},
723 /* 709*/ {"ciph_mode_set"},
724 /* 710*/ {"vgcs_tmi"},
725 /* 711*/ {"multirate_conf"},
726 /* 712*/ {"msg_type"},
727 /* 713*/ {"rr_cause"},
728 /* 714*/ {"mob_time_diff"},
729 /* 715*/ {"msg_type"},
730 /* 716*/ {"rr_cause"},
731 /* 717*/ {"msg_type"},
732 /* 718*/ {""},
733 /* 719*/ {"tma"},
734 /* 720*/ {"dl"},
735 /* 721*/ {"d_t"},
736 /* 722*/ {"page_mode"},
737 /* 723*/ {"chan_desc"},
738 /* 724*/ {"pck_chan_desc"},
739 /* 725*/ {"req_ref"},
740 /* 726*/ {"time_advance"},
741 /* 727*/ {"mob_alloc"},
742 /* 728*/ {"start_time"},
743 /* 729*/ {"ia_rest_oct"},
744 /* 730*/ {"msg_type"},
745 /* 731*/ {"page_mode"},
746 /* 732*/ {""},
747 /* 733*/ {"chan_desc"},
748 /* 734*/ {"req_ref"},
749 /* 735*/ {"time_advance"},
750 /* 736*/ {"chan_desc_2"},
751 /* 737*/ {"req_ref_2"},
752 /* 738*/ {"time_advance_2"},
753 /* 739*/ {"mob_alloc"},
754 /* 740*/ {"start_time"},
755 /* 741*/ {""},
756 /* 742*/ {"msg_type"},
757 /* 743*/ {"page_mode"},
758 /* 744*/ {""},
759 /* 745*/ {"req_ref"},
760 /* 746*/ {"t3122"},
761 /* 747*/ {"req_ref_2"},
762 /* 748*/ {"t3122_2"},
763 /* 749*/ {"req_ref_3"},
764 /* 750*/ {"t3122_3"},
765 /* 751*/ {"req_ref_4"},
766 /* 752*/ {"t3122_4"},
767 /* 753*/ {""},
768 /* 754*/ {"msg_type"},
769 /* 755*/ {"meas_result"},
770 /* 756*/ {"msg_type"},
771 /* 757*/ {"nt_rest_oct"},
772 /* 758*/ {"msg_type"},
773 /* 759*/ {"page_mode"},
774 /* 760*/ {"chan_needed"},
775 /* 761*/ {"mob_ident"},
776 /* 762*/ {"mob_ident_2"},
777 /* 763*/ {"p1_rest_oct"},
778 /* 764*/ {"msg_type"},
779 /* 765*/ {"page_mode"},
780 /* 766*/ {"chan_needed"},
781 /* 767*/ {"tmsi_1"},
782 /* 768*/ {"tmsi_2"},
783 /* 769*/ {"mob_ident"},
784 /* 770*/ {"p2_rest_oct"},
785 /* 771*/ {"msg_type"},
786 /* 772*/ {"page_mode"},
787 /* 773*/ {"chan_needed"},
788 /* 774*/ {"tmsi_1"},
789 /* 775*/ {"tmsi_2"},
790 /* 776*/ {"tmsi_3"},
791 /* 777*/ {"tmsi_4"},
792 /* 778*/ {"p3_rest_oct"},
793 /* 779*/ {"msg_type"},
794 /* 780*/ {"ciph_key_num"},
795 /* 781*/ {""},
796 /* 782*/ {"mob_class_2"},
797 /* 783*/ {"mob_ident"},
798 /* 784*/ {"msg_type"},
799 /* 785*/ {"chan_desc"},
800 /* 786*/ {"msg_type"},
801 /* 787*/ {"msg_type"},
802 /* 788*/ {"chan_desc"},
803 /* 789*/ {"cell_chan_desc"},
804 /* 790*/ {"freq_list_after"},
805 /* 791*/ {"mob_alloc_after"},
806 /* 792*/ {"start_time"},
807 /* 793*/ {"freq_list_before"},
808 /* 794*/ {"chan_desc_before"},
809 /* 795*/ {"freq_chan_seq"},
810 /* 796*/ {"mob_alloc_before"},
811 /* 797*/ {"pck_ul_ass"},
812 /* 798*/ {"pck_dl_ass"},
813 /* 799*/ {"msg_type"},
814 /* 800*/ {"time_advance"},
815 /* 801*/ {"msg_type"},
816 /* 802*/ {"cell_desc"},
817 /* 803*/ {"nc_mode"},
818 /* 804*/ {"msg_type"},
819 /* 805*/ {"ciph_key_num"},
820 /* 806*/ {"chan_coding"},
821 /* 807*/ {"mob_class_2"},
822 /* 808*/ {"ded_tlli"},
823 /* 809*/ {"chan_req_desc"},
824 /* 810*/ {"gprs_meas_res"},
825 /* 811*/ {"msg_type"},
826 /* 812*/ {"rr_cause"},
827 /* 813*/ {"msg_type"},
828 /* 814*/ {"cell_chan_desc"},
829 /* 815*/ {"rach_ctrl"},
830 /* 816*/ {"si1_rest_oct"},
831 /* 817*/ {"msg_type"},
832 /* 818*/ {"neigh_cell_desc"},
833 /* 819*/ {"ncc_permit"},
834 /* 820*/ {"rach_ctrl"},
835 /* 821*/ {"msg_type"},
836 /* 822*/ {"neigh_cell_desc"},
837 /* 823*/ {"rach_ctrl"},
838 /* 824*/ {""},
839 /* 825*/ {"msg_type"},
840 /* 826*/ {"neigh_cell_desc"},
841 /* 827*/ {""},
842 /* 828*/ {"msg_type"},
843 /* 829*/ {"cell_ident"},
844 /* 830*/ {"loc_area_ident"},
845 /* 831*/ {"ctrl_chan_desc"},
846 /* 832*/ {"cell_opt_bcch"},
847 /* 833*/ {"cell_select"},
848 /* 834*/ {"rach_ctrl"},
849 /* 835*/ {"si3_rest_oct"},
850 /* 836*/ {"msg_type"},
851 /* 837*/ {"loc_area_ident"},
852 /* 838*/ {"cell_select"},
853 /* 839*/ {"rach_ctrl"},
854 /* 840*/ {"chan_desc"},
855 /* 841*/ {"mob_alloc"},
856 /* 842*/ {"si4_rest_oct"},
857 /* 843*/ {""},
858 /* 844*/ {"msg_type"},
859 /* 845*/ {"neigh_cell_desc"},
860 /* 846*/ {"msg_type"},
861 /* 847*/ {"neigh_cell_desc"},
862 /* 848*/ {"msg_type"},
863 /* 849*/ {"neigh_cell_desc"},
864 /* 850*/ {"msg_type"},
865 /* 851*/ {"cell_ident"},
866 /* 852*/ {"loc_area_ident"},
867 /* 853*/ {"cell_opt_sacch"},
868 /* 854*/ {"ncc_permit"},
869 /* 855*/ {"si6_rest_oct"},
870 /* 856*/ {"msg_type"},
871 /* 857*/ {"si7_rest_oct"},
872 /* 858*/ {"msg_type"},
873 /* 859*/ {"si8_rest_oct"},
874 /* 860*/ {"msg_type"},
875 /* 861*/ {"rach_ctrl"},
876 /* 862*/ {"si9_rest_oct"},
877 /* 863*/ {"msg_type"},
878 /* 864*/ {"si13_rest_oct"},
879 /* 865*/ {"msg_type"},
880 /* 866*/ {"si16_rest_oct"},
881 /* 867*/ {"msg_type"},
882 /* 868*/ {"si17_rest_oct"},
883 /* 869*/ {"msg_type"},
884 /* 870*/ {"mob_class_2"},
885 /* 871*/ {"mob_ident"},
886 /* 872*/ {"msg_type"},
887 /* 873*/ {"msg_type"},
888 /* 874*/ {"rr_cause"},
889 /* 875*/ {"msg_type"},
890 /* 876*/ {"req_ref"},
891 /* 877*/ {"time_advance"},
892 /* 878*/ {"mr"},
893 /* 879*/ {"serv_band_rep"},
894 /* 880*/ {"scale_ord"},
895 /* 881*/ {"ba_start_bsic"},
896 /* 882*/ {"bsic"},
897 /* 883*/ {"rxlev"},
898 /* 884*/ {"e_len"},
899 /* 885*/ {"extens"},
900 /* 886*/ {"dtx_used"},
901 /* 887*/ {"rxlev"},
902 /* 888*/ {"rxqual_full"},
903 /* 889*/ {"mean_bep"},
904 /* 890*/ {"cv_bep"},
905 /* 891*/ {"nr_rcvd_bl"},
906 /* 892*/ {"rep_q"},
907 /* 893*/ {"rep_q_arr"},
908 /* 894*/ {"msg_type"},
909 /* 895*/ {"sl2h"},
910 /* 896*/ {"ba_ind"},
911 /* 897*/ {"ba_ind_3g"},
912 /* 898*/ {"bsic_seen"},
913 /* 899*/ {"scale"},
914 /* 900*/ {"scdata"},
915 /* 901*/ {"i_bsic_i"},
916 /* 902*/ {"em_rep"},
917 /* 903*/ {""},
918 /* 904*/ {"msg_type"},
919 /* 905*/ {"sl2h"},
920 /* 906*/ {"ba_ind"},
921 /* 907*/ {"ba_ind_3g"},
922 /* 908*/ {"mp_cm"},
923 /* 909*/ {"mi_idx"},
924 /* 910*/ {"mi_c"},
925 /* 911*/ {"pow_ctrl"},
926 /* 912*/ {"report_type"},
927 /* 913*/ {"rep_rate"},
928 /* 914*/ {"inv_bsic_rep"},
929 /* 915*/ {"emp"},
930 /* 916*/ {"mi_ext"},
931 /* 917*/ {""},
932 /* 918*/ {"rand"},
933 /* 919*/ {""},
934 /* 920*/ {"key_seq"},
935 /* 921*/ {""},
936 /* 922*/ {"ident_type"},
937 /* 923*/ {"mcc"},
938 /* 924*/ {"mnc"},
939 /* 925*/ {"lac"},
940 /* 926*/ {"follow"},
941 /* 927*/ {""},
942 /* 928*/ {"lut"},
943 /* 929*/ {"ident_type"},
944 /* 930*/ {"odd_even"},
945 /* 931*/ {"ident_dig"},
946 /* 932*/ {""},
947 /* 933*/ {"tmsi"},
948 /* 934*/ {"dmy"},
949 /* 935*/ {""},
950 /* 936*/ {"sapi"},
951 /* 937*/ {"pd"},
952 /* 938*/ {""},
953 /* 939*/ {"cs"},
954 /* 940*/ {"add_ci"},
955 /* 941*/ {"num_spare"},
956 /* 942*/ {"text"},
957 /* 943*/ {"tz"},
958 /* 944*/ {"year"},
959 /* 945*/ {"month"},
960 /* 946*/ {"day"},
961 /* 947*/ {"hour"},
962 /* 948*/ {"minute"},
963 /* 949*/ {"second"},
964 /* 950*/ {"tz"},
965 /* 951*/ {"mcc"},
966 /* 952*/ {"mnc"},
967 /* 953*/ {"eqv_plmn"},
968 /* 954*/ {"msg_type"},
969 /* 955*/ {"rej_cause"},
970 /* 956*/ {"msg_type"},
971 /* 957*/ {"msg_type"},
972 /* 958*/ {"ciph_key_num"},
973 /* 959*/ {""},
974 /* 960*/ {"auth_rand"},
975 /* 961*/ {"msg_type"},
976 /* 962*/ {"auth_sres"},
977 /* 963*/ {"msg_type"},
978 /* 964*/ {"ciph_key_num"},
979 /* 965*/ {""},
980 /* 966*/ {"mob_class_2"},
981 /* 967*/ {"mob_id"},
982 /* 968*/ {"loc_area_ident"},
983 /* 969*/ {"msg_type"},
984 /* 970*/ {"msg_type"},
985 /* 971*/ {"msg_type"},
986 /* 972*/ {"rej_cause"},
987 /* 973*/ {"msg_type"},
988 /* 974*/ {"cm_serv_type"},
989 /* 975*/ {"ciph_key_num"},
990 /* 976*/ {"mob_class_2"},
991 /* 977*/ {"mob_id"},
992 /* 978*/ {"msg_type"},
993 /* 979*/ {"ident"},
994 /* 980*/ {""},
995 /* 981*/ {"msg_type"},
996 /* 982*/ {"mob_id"},
997 /* 983*/ {"msg_type"},
998 /* 984*/ {"mob_class_1"},
999 /* 985*/ {"mob_id"},
1000 /* 986*/ {"msg_type"},
1001 /* 987*/ {"loc_area_ident"},
1002 /* 988*/ {"mob_id"},
1003 /* 989*/ {"follow_proceed"},
1004 /* 990*/ {"cts_per"},
1005 /* 991*/ {"eqv_plmn_list"},
1006 /* 992*/ {"msg_type"},
1007 /* 993*/ {"rej_cause"},
1008 /* 994*/ {"msg_type"},
1009 /* 995*/ {"loc_upd_type"},
1010 /* 996*/ {"ciph_key_num"},
1011 /* 997*/ {"loc_area_ident"},
1012 /* 998*/ {"mob_class_1"},
1013 /* 999*/ {"mob_id"},
1014 /* 1000*/ {"msg_type"},
1015 /* 1001*/ {"rej_cause"},
1016 /* 1002*/ {"msg_type"},
1017 /* 1003*/ {"loc_area_ident"},
1018 /* 1004*/ {"mob_id"},
1019 /* 1005*/ {"msg_type"},
1020 /* 1006*/ {"msg_type"},
1021 /* 1007*/ {"pd_and_sapi"},
1022 /* 1008*/ {"msg_type"},
1023 /* 1009*/ {"full_net_name"},
1024 /* 1010*/ {"short_net_name"},
1025 /* 1011*/ {"net_tz"},
1026 /* 1012*/ {"net_tz_and_time"},
1027 /* 1013*/ {""},
1028 /* 1014*/ {"hold"},
1029 /* 1015*/ {"mpty"},
1030 /* 1016*/ {"rad_chan_req"},
1031 /* 1017*/ {"code"},
1032 /* 1018*/ {"trans_mode"},
1033 /* 1019*/ {"trans_cap"},
1034 /* 1020*/ {"coding_bc3x1"},
1035 /* 1021*/ {"ctm"},
1036 /* 1022*/ {""},
1037 /* 1023*/ {"speech_vers1"},
1038 /* 1024*/ {"coding_bc3x2"},
1039 /* 1025*/ {""},
1040 /* 1026*/ {"speech_vers2"},
1041 /* 1027*/ {"coding_bc3x3"},
1042 /* 1028*/ {""},
1043 /* 1029*/ {"speech_vers3"},
1044 /* 1030*/ {"coding_bc3x4"},
1045 /* 1031*/ {""},
1046 /* 1032*/ {"speech_vers4"},
1047 /* 1033*/ {"coding_bc3x5"},
1048 /* 1034*/ {""},
1049 /* 1035*/ {"speech_vers5"},
1050 /* 1036*/ {"compress"},
1051 /* 1037*/ {"structure"},
1052 /* 1038*/ {"duplex"},
1053 /* 1039*/ {"config"},
1054 /* 1040*/ {"nirr"},
1055 /* 1041*/ {"establish"},
1056 /* 1042*/ {"access_ident"},
1057 /* 1043*/ {"rate_adapt"},
1058 /* 1044*/ {"sig_access_prot"},
1059 /* 1045*/ {"l1_ident"},
1060 /* 1046*/ {"user_inf_l1_prot"},
1061 /* 1047*/ {"sync_async"},
1062 /* 1048*/ {"num_stop"},
1063 /* 1049*/ {"negotiate"},
1064 /* 1050*/ {"num_data"},
1065 /* 1051*/ {"user_rate"},
1066 /* 1052*/ {"intermed_rate"},
1067 /* 1053*/ {"nic_tx"},
1068 /* 1054*/ {"nic_rx"},
1069 /* 1055*/ {"parity"},
1070 /* 1056*/ {"conn_elem"},
1071 /* 1057*/ {"modem_type"},
1072 /* 1058*/ {"modem_type_2"},
1073 /* 1059*/ {"fnur"},
1074 /* 1060*/ {"acc"},
1075 /* 1061*/ {"mTch"},
1076 /* 1062*/ {"uimi"},
1077 /* 1063*/ {"waiur"},
1078 /* 1064*/ {"l2_ident"},
1079 /* 1065*/ {"user_inf_l2_prot"},
1080 /* 1066*/ {""},
1081 /* 1067*/ {"pcp"},
1082 /* 1068*/ {"dtmf"},
1083 /* 1069*/ {"cs"},
1084 /* 1070*/ {"state"},
1085 /* 1071*/ {"ton"},
1086 /* 1072*/ {"npi"},
1087 /* 1073*/ {"num"},
1088 /* 1074*/ {"ton"},
1089 /* 1075*/ {"npi"},
1090 /* 1076*/ {"num"},
1091 /* 1077*/ {"tos"},
1092 /* 1078*/ {"odd_even"},
1093 /* 1079*/ {""},
1094 /* 1080*/ {"subaddr"},
1095 /* 1081*/ {"ton"},
1096 /* 1082*/ {"npi"},
1097 /* 1083*/ {"present"},
1098 /* 1084*/ {""},
1099 /* 1085*/ {"screen"},
1100 /* 1086*/ {"num"},
1101 /* 1087*/ {"tos"},
1102 /* 1088*/ {"odd_even"},
1103 /* 1089*/ {""},
1104 /* 1090*/ {"subaddr"},
1105 /* 1091*/ {"cs"},
1106 /* 1092*/ {""},
1107 /* 1093*/ {"loc"},
1108 /* 1094*/ {"rec"},
1109 /* 1095*/ {"cause"},
1110 /* 1096*/ {"diag"},
1111 /* 1097*/ {"ton"},
1112 /* 1098*/ {"npi"},
1113 /* 1099*/ {"present"},
1114 /* 1100*/ {""},
1115 /* 1101*/ {"screen"},
1116 /* 1102*/ {"num"},
1117 /* 1103*/ {"tos"},
1118 /* 1104*/ {"odd_even"},
1119 /* 1105*/ {""},
1120 /* 1106*/ {"subaddr"},
1121 /* 1107*/ {"fac"},
1122 /* 1108*/ {"cs"},
1123 /* 1109*/ {"interpret"},
1124 /* 1110*/ {"prot_prof"},
1125 /* 1111*/ {"hlci"},
1126 /* 1112*/ {"ext_hlci"},
1127 /* 1113*/ {""},
1128 /* 1114*/ {"key"},
1129 /* 1115*/ {"llc"},
1130 /* 1116*/ {"nd"},
1131 /* 1117*/ {"cs"},
1132 /* 1118*/ {""},
1133 /* 1119*/ {"loc"},
1134 /* 1120*/ {"progress_desc"},
1135 /* 1121*/ {"ver"},
1136 /* 1122*/ {"pd"},
1137 /* 1123*/ {"info"},
1138 /* 1124*/ {"ccbs_act"},
1139 /* 1125*/ {""},
1140 /* 1126*/ {""},
1141 /* 1127*/ {"rcl_type"},
1142 /* 1128*/ {"setup_msg"},
1143 /* 1129*/ {"ton"},
1144 /* 1130*/ {"npi"},
1145 /* 1131*/ {"present"},
1146 /* 1132*/ {""},
1147 /* 1133*/ {"screen"},
1148 /* 1134*/ {"num"},
1149 /* 1135*/ {"tos"},
1150 /* 1136*/ {"odd_even"},
1151 /* 1137*/ {""},
1152 /* 1138*/ {"subaddr"},
1153 /* 1139*/ {"msg_type"},
1154 /* 1140*/ {"facility"},
1155 /* 1141*/ {"progress"},
1156 /* 1142*/ {"user_user"},
1157 /* 1143*/ {"msg_type"},
1158 /* 1144*/ {"facility"},
1159 /* 1145*/ {"user_user"},
1160 /* 1146*/ {"ss_version"},
1161 /* 1147*/ {"msg_type"},
1162 /* 1148*/ {"repeat"},
1163 /* 1149*/ {"bearer_cap"},
1164 /* 1150*/ {"bearer_cap_2"},
1165 /* 1151*/ {"cc_cause"},
1166 /* 1152*/ {"call_ctrl_cap"},
1167 /* 1153*/ {"msg_type"},
1168 /* 1154*/ {"repeat"},
1169 /* 1155*/ {"bearer_cap"},
1170 /* 1156*/ {"bearer_cap_2"},
1171 /* 1157*/ {"facility"},
1172 /* 1158*/ {"progress"},
1173 /* 1159*/ {"msg_type"},
1174 /* 1160*/ {"congest_lev"},
1175 /* 1161*/ {""},
1176 /* 1162*/ {"cc_cause"},
1177 /* 1163*/ {"msg_type"},
1178 /* 1164*/ {"facility"},
1179 /* 1165*/ {"progress"},
1180 /* 1166*/ {"connect_num"},
1181 /* 1167*/ {"connect_subaddr"},
1182 /* 1168*/ {"user_user"},
1183 /* 1169*/ {"msg_type"},
1184 /* 1170*/ {"facility"},
1185 /* 1171*/ {"connect_subaddr"},
1186 /* 1172*/ {"user_user"},
1187 /* 1173*/ {"ss_version"},
1188 /* 1174*/ {"msg_type"},
1189 /* 1175*/ {"msg_type"},
1190 /* 1176*/ {"cc_cause"},
1191 /* 1177*/ {"facility"},
1192 /* 1178*/ {"progress"},
1193 /* 1179*/ {"user_user"},
1194 /* 1180*/ {"allowed_actions"},
1195 /* 1181*/ {"msg_type"},
1196 /* 1182*/ {"cc_cause"},
1197 /* 1183*/ {"facility"},
1198 /* 1184*/ {"user_user"},
1199 /* 1185*/ {"ss_version"},
1200 /* 1186*/ {"msg_type"},
1201 /* 1187*/ {"bearer_cap"},
1202 /* 1188*/ {"msg_type"},
1203 /* 1189*/ {"facility"},
1204 /* 1190*/ {"msg_type"},
1205 /* 1191*/ {"facility"},
1206 /* 1192*/ {"ss_version"},
1207 /* 1193*/ {"msg_type"},
1208 /* 1194*/ {"msg_type"},
1209 /* 1195*/ {"msg_type"},
1210 /* 1196*/ {"cc_cause"},
1211 /* 1197*/ {"msg_type"},
1212 /* 1198*/ {"bearer_cap"},
1213 /* 1199*/ {"low_layer_comp"},
1214 /* 1200*/ {"high_layer_comp"},
1215 /* 1201*/ {"reverse_call"},
1216 /* 1202*/ {"immediate_mod"},
1217 /* 1203*/ {"msg_type"},
1218 /* 1204*/ {"bearer_cap"},
1219 /* 1205*/ {"low_layer_comp"},
1220 /* 1206*/ {"high_layer_comp"},
1221 /* 1207*/ {"reverse_call"},
1222 /* 1208*/ {"msg_type"},
1223 /* 1209*/ {"bearer_cap"},
1224 /* 1210*/ {"cc_cause"},
1225 /* 1211*/ {"low_layer_comp"},
1226 /* 1212*/ {"high_layer_comp"},
1227 /* 1213*/ {"msg_type"},
1228 /* 1214*/ {"notific"},
1229 /* 1215*/ {"msg_type"},
1230 /* 1216*/ {"progress"},
1231 /* 1217*/ {"user_user"},
1232 /* 1218*/ {"msg_type"},
1233 /* 1219*/ {"cc_cause"},
1234 /* 1220*/ {"cc_cause_2"},
1235 /* 1221*/ {"facility"},
1236 /* 1222*/ {"user_user"},
1237 /* 1223*/ {"msg_type"},
1238 /* 1224*/ {"cc_cause"},
1239 /* 1225*/ {"cc_cause_2"},
1240 /* 1226*/ {"facility"},
1241 /* 1227*/ {"user_user"},
1242 /* 1228*/ {"ss_version"},
1243 /* 1229*/ {"msg_type"},
1244 /* 1230*/ {"cc_cause"},
1245 /* 1231*/ {"facility"},
1246 /* 1232*/ {"user_user"},
1247 /* 1233*/ {"msg_type"},
1248 /* 1234*/ {"cc_cause"},
1249 /* 1235*/ {"facility"},
1250 /* 1236*/ {"user_user"},
1251 /* 1237*/ {"ss_version"},
1252 /* 1238*/ {"msg_type"},
1253 /* 1239*/ {"msg_type"},
1254 /* 1240*/ {"msg_type"},
1255 /* 1241*/ {"cc_cause"},
1256 /* 1242*/ {"msg_type"},
1257 /* 1243*/ {"repeat"},
1258 /* 1244*/ {"bearer_cap"},
1259 /* 1245*/ {"bearer_cap_2"},
1260 /* 1246*/ {"facility"},
1261 /* 1247*/ {"progress"},
1262 /* 1248*/ {"signal"},
1263 /* 1249*/ {"calling_num"},
1264 /* 1250*/ {"calling_subaddr"},
1265 /* 1251*/ {"dl_called_num"},
1266 /* 1252*/ {"called_subaddr"},
1267 /* 1253*/ {"redirecting_num"},
1268 /* 1254*/ {"redirecting_subaddr"},
1269 /* 1255*/ {"repeat_2"},
1270 /* 1256*/ {"low_layer_comp"},
1271 /* 1257*/ {"low_layer_comp_2"},
1272 /* 1258*/ {"repeat_3"},
1273 /* 1259*/ {"high_layer_comp"},
1274 /* 1260*/ {"high_layer_comp_2"},
1275 /* 1261*/ {"user_user"},
1276 /* 1262*/ {"msg_type"},
1277 /* 1263*/ {"repeat"},
1278 /* 1264*/ {"bearer_cap"},
1279 /* 1265*/ {"bearer_cap_2"},
1280 /* 1266*/ {"facility"},
1281 /* 1267*/ {"calling_subaddr"},
1282 /* 1268*/ {"ul_called_num"},
1283 /* 1269*/ {"called_subaddr"},
1284 /* 1270*/ {"repeat_2"},
1285 /* 1271*/ {"low_layer_comp"},
1286 /* 1272*/ {"low_layer_comp_2"},
1287 /* 1273*/ {"repeat_3"},
1288 /* 1274*/ {"high_layer_comp"},
1289 /* 1275*/ {"high_layer_comp_2"},
1290 /* 1276*/ {"user_user"},
1291 /* 1277*/ {"ss_version"},
1292 /* 1278*/ {"clir_suppr"},
1293 /* 1279*/ {"clir_invoc"},
1294 /* 1280*/ {"call_ctrl_cap"},
1295 /* 1281*/ {"fac_adv"},
1296 /* 1282*/ {"msg_type"},
1297 /* 1283*/ {"key_facility"},
1298 /* 1284*/ {"msg_type"},
1299 /* 1285*/ {"key_facility"},
1300 /* 1286*/ {"msg_type"},
1301 /* 1287*/ {"cc_cause"},
1302 /* 1288*/ {"msg_type"},
1303 /* 1289*/ {"cc_cause"},
1304 /* 1290*/ {"call_state"},
1305 /* 1291*/ {"aux_states"},
1306 /* 1292*/ {"msg_type"},
1307 /* 1293*/ {"msg_type"},
1308 /* 1294*/ {"msg_type"},
1309 /* 1295*/ {"msg_type"},
1310 /* 1296*/ {"user_user"},
1311 /* 1297*/ {"more_data"},
1312 /* 1298*/ {"msg_type"},
1313 /* 1299*/ {"call_ctrl_cap"},
1314 /* 1300*/ {"msg_type"},
1315 /* 1301*/ {"recall_type"},
1316 /* 1302*/ {"facility"},
1317 /* 1303*/ {"msg_type"},
1318 /* 1304*/ {"repeat"},
1319 /* 1305*/ {"bearer_cap"},
1320 /* 1306*/ {"bearer_cap_2"},
1321 /* 1307*/ {"cc_cause"},
1322 /* 1308*/ {"msg_type"},
1323 /* 1309*/ {"setup_cont"},
1324 /* 1310*/ {"fac_info"},
1325 /* 1311*/ {"ver"},
1326 /* 1312*/ {"cs2"},
1327 /* 1313*/ {""},
1328 /* 1314*/ {"loc"},
1329 /* 1315*/ {"rec"},
1330 /* 1316*/ {"cs"},
1331 /* 1317*/ {"diag"},
1332 /* 1318*/ {"msg_type"},
1333 /* 1319*/ {"ss_facility"},
1334 /* 1320*/ {"msg_type"},
1335 /* 1321*/ {"ss_facility"},
1336 /* 1322*/ {"msg_type"},
1337 /* 1323*/ {"ss_facility"},
1338 /* 1324*/ {"msg_type"},
1339 /* 1325*/ {"ss_facility"},
1340 /* 1326*/ {"ss_version"},
1341 /* 1327*/ {"msg_type"},
1342 /* 1328*/ {"ss_cause"},
1343 /* 1329*/ {"ss_facility"},
1344 /* 1330*/ {"rp_cause_value"},
1345 /* 1331*/ {"diag"},
1346 /* 1332*/ {"ton"},
1347 /* 1333*/ {"npi"},
1348 /* 1334*/ {"num"},
1349 /* 1335*/ {"tp_mti"},
1350 /* 1336*/ {"tpdu"},
1351 /* 1337*/ {"rp_user_data"},
1352 /* 1338*/ {"rp_cause"},
1353 /* 1339*/ {"rp_user_data"},
1354 /* 1340*/ {"rp_addr"},
1355 /* 1341*/ {""},
1356 /* 1342*/ {"rp_user_data"},
1357 /* 1343*/ {""},
1358 /* 1344*/ {"rp_mti"},
1359 /* 1345*/ {"reference"},
1360 /* 1346*/ {"rp_data_dl"},
1361 /* 1347*/ {"rp_error"},
1362 /* 1348*/ {"rp_ack"},
1363 /* 1349*/ {""},
1364 /* 1350*/ {"rp_addr"},
1365 /* 1351*/ {"rp_user_data"},
1366 /* 1352*/ {""},
1367 /* 1353*/ {"rp_mti"},
1368 /* 1354*/ {"reference"},
1369 /* 1355*/ {"rp_data_ul"},
1370 /* 1356*/ {"rp_error"},
1371 /* 1357*/ {"rp_ack"},
1372 /* 1358*/ {"data"},
1373 /* 1359*/ {"digits"},
1374 /* 1360*/ {""},
1375 /* 1361*/ {"ton"},
1376 /* 1362*/ {"npi"},
1377 /* 1363*/ {"num"},
1378 /* 1364*/ {"year"},
1379 /* 1365*/ {"month"},
1380 /* 1366*/ {"day"},
1381 /* 1367*/ {"hour"},
1382 /* 1368*/ {"minute"},
1383 /* 1369*/ {"second"},
1384 /* 1370*/ {"tz_lsb"},
1385 /* 1371*/ {"tz_sign"},
1386 /* 1372*/ {"tz_msb"},
1387 /* 1373*/ {"tp_ext"},
1388 /* 1374*/ {"tp_ss"},
1389 /* 1375*/ {""},
1390 /* 1376*/ {"tvpf"},
1391 /* 1377*/ {""},
1392 /* 1378*/ {"tp_rsrvd"},
1393 /* 1379*/ {"tp_vp_rel"},
1394 /* 1380*/ {"tp_vp_sec"},
1395 /* 1381*/ {"hour"},
1396 /* 1382*/ {"minute"},
1397 /* 1383*/ {"second"},
1398 /* 1384*/ {""},
1399 /* 1385*/ {""},
1400 /* 1386*/ {""},
1401 /* 1387*/ {""},
1402 /* 1388*/ {""},
1403 /* 1389*/ {"length"},
1404 /* 1390*/ {"data"},
1405 /* 1391*/ {"length"},
1406 /* 1392*/ {"tp_udh"},
1407 /* 1393*/ {"data"},
1408 /* 1394*/ {"tp_udh"},
1409 /* 1395*/ {"data"},
1410 /* 1396*/ {"msg_type"},
1411 /* 1397*/ {"msg_type"},
1412 /* 1398*/ {"cp_user_data_dl"},
1413 /* 1399*/ {"msg_type"},
1414 /* 1400*/ {"cp_user_data_ul"},
1415 /* 1401*/ {"msg_type"},
1416 /* 1402*/ {"cp_cause"},
1417 /* 1403*/ {"tp_vt_mti"},
1418 /* 1404*/ {"tp_rp"},
1419 /* 1405*/ {"tp_udhi"},
1420 /* 1406*/ {"tp_sri"},
1421 /* 1407*/ {""},
1422 /* 1408*/ {"tp_mms"},
1423 /* 1409*/ {"tp_mti"},
1424 /* 1410*/ {"tp_oa"},
1425 /* 1411*/ {"tp_pid"},
1426 /* 1412*/ {"tp_dcs"},
1427 /* 1413*/ {"tp_scts"},
1428 /* 1414*/ {"tp_ud"},
1429 /* 1415*/ {"tp_udh_inc"},
1430 /* 1416*/ {"tp_vt_mti"},
1431 /* 1417*/ {""},
1432 /* 1418*/ {"tp_udhi"},
1433 /* 1419*/ {""},
1434 /* 1420*/ {"tp_mti"},
1435 /* 1421*/ {"tp_fcs"},
1436 /* 1422*/ {"tp_ext"},
1437 /* 1423*/ {""},
1438 /* 1424*/ {"tp_udl_p"},
1439 /* 1425*/ {"tp_dcs_p"},
1440 /* 1426*/ {"tp_pid_p"},
1441 /* 1427*/ {""},
1442 /* 1428*/ {"tp_rsrvd"},
1443 /* 1429*/ {"tp_pid"},
1444 /* 1430*/ {"tp_dcs"},
1445 /* 1431*/ {"tp_ud"},
1446 /* 1432*/ {"tp_udh_inc"},
1447 /* 1433*/ {"tp_vt_mti"},
1448 /* 1434*/ {""},
1449 /* 1435*/ {"tp_udhi"},
1450 /* 1436*/ {""},
1451 /* 1437*/ {"tp_mti"},
1452 /* 1438*/ {"tp_ext"},
1453 /* 1439*/ {""},
1454 /* 1440*/ {"tp_udl_p"},
1455 /* 1441*/ {"tp_dcs_p"},
1456 /* 1442*/ {"tp_pid_p"},
1457 /* 1443*/ {""},
1458 /* 1444*/ {"tp_rsrvd"},
1459 /* 1445*/ {"tp_pid"},
1460 /* 1446*/ {"tp_dcs"},
1461 /* 1447*/ {"tp_ud"},
1462 /* 1448*/ {"tp_udh_inc"},
1463 /* 1449*/ {"tp_vt_mti"},
1464 /* 1450*/ {"tp_rp"},
1465 /* 1451*/ {"tp_udhi"},
1466 /* 1452*/ {"tp_srr"},
1467 /* 1453*/ {"tp_vpf"},
1468 /* 1454*/ {"tp_rd"},
1469 /* 1455*/ {"tp_mti"},
1470 /* 1456*/ {"tp_mr"},
1471 /* 1457*/ {"tp_da"},
1472 /* 1458*/ {"tp_pid"},
1473 /* 1459*/ {"tp_dcs"},
1474 /* 1460*/ {"tp_vp_enh"},
1475 /* 1461*/ {"tp_vp_rel"},
1476 /* 1462*/ {"tp_vp_abs"},
1477 /* 1463*/ {"tp_ud"},
1478 /* 1464*/ {"tp_udh_inc"},
1479 /* 1465*/ {"tp_vt_mti"},
1480 /* 1466*/ {""},
1481 /* 1467*/ {"tp_udhi"},
1482 /* 1468*/ {""},
1483 /* 1469*/ {"tp_mti"},
1484 /* 1470*/ {"tp_fcs"},
1485 /* 1471*/ {"tp_ext"},
1486 /* 1472*/ {""},
1487 /* 1473*/ {"tp_udl_p"},
1488 /* 1474*/ {"tp_dcs_p"},
1489 /* 1475*/ {"tp_pid_p"},
1490 /* 1476*/ {""},
1491 /* 1477*/ {"tp_rsrvd"},
1492 /* 1478*/ {"tp_scts"},
1493 /* 1479*/ {"tp_pid"},
1494 /* 1480*/ {"tp_dcs"},
1495 /* 1481*/ {"tp_ud"},
1496 /* 1482*/ {"tp_udh_inc"},
1497 /* 1483*/ {"tp_vt_mti"},
1498 /* 1484*/ {""},
1499 /* 1485*/ {"tp_udhi"},
1500 /* 1486*/ {""},
1501 /* 1487*/ {"tp_mti"},
1502 /* 1488*/ {"tp_ext"},
1503 /* 1489*/ {""},
1504 /* 1490*/ {"tp_udl_p"},
1505 /* 1491*/ {"tp_dcs_p"},
1506 /* 1492*/ {"tp_pid_p"},
1507 /* 1493*/ {""},
1508 /* 1494*/ {"tp_rsrvd"},
1509 /* 1495*/ {"tp_scts"},
1510 /* 1496*/ {"tp_pid"},
1511 /* 1497*/ {"tp_dcs"},
1512 /* 1498*/ {"tp_ud"},
1513 /* 1499*/ {"tp_udh_inc"},
1514 /* 1500*/ {"tp_vt_mti"},
1515 /* 1501*/ {""},
1516 /* 1502*/ {"tp_udhi"},
1517 /* 1503*/ {"tp_srq"},
1518 /* 1504*/ {""},
1519 /* 1505*/ {"tp_mms"},
1520 /* 1506*/ {"tp_mti"},
1521 /* 1507*/ {"tp_mr"},
1522 /* 1508*/ {"tp_ra"},
1523 /* 1509*/ {"tp_scts"},
1524 /* 1510*/ {"tp_dt"},
1525 /* 1511*/ {"tp_st"},
1526 /* 1512*/ {""},
1527 /* 1513*/ {"tp_udl_p"},
1528 /* 1514*/ {"tp_dcs_p"},
1529 /* 1515*/ {"tp_pid_p"},
1530 /* 1516*/ {"tp_rsrvd"},
1531 /* 1517*/ {"tp_pid"},
1532 /* 1518*/ {"tp_dcs"},
1533 /* 1519*/ {"tp_ud"},
1534 /* 1520*/ {"tp_udh_inc"},
1535 /* 1521*/ {"tp_vt_mti"},
1536 /* 1522*/ {""},
1537 /* 1523*/ {"tp_udhi"},
1538 /* 1524*/ {"tp_srr"},
1539 /* 1525*/ {""},
1540 /* 1526*/ {"tp_mti"},
1541 /* 1527*/ {"tp_mr"},
1542 /* 1528*/ {"tp_pid"},
1543 /* 1529*/ {"tp_ct"},
1544 /* 1530*/ {"tp_mn"},
1545 /* 1531*/ {"tp_da"},
1546 /* 1532*/ {"tp_cd"},
1547 /* 1533*/ {"tp_cdh_inc"},
1548 /* 1534*/ {"tp_vt_mti"},
1549 /* 1535*/ {"rp_addr"},
1550 /* 1536*/ {"tp_mti"},
1551 /* 1537*/ {"tpdu"},
1552 /* 1538*/ {"inv_id"},
1553 /* 1539*/ {"lnk_id"},
1554 /* 1540*/ {"op_code"},
1555 /* 1541*/ {"params"},
1556 /* 1542*/ {"inv_id"},
1557 /* 1543*/ {"err_code"},
1558 /* 1544*/ {"params"},
1559 /* 1545*/ {"inv_id"},
1560 /* 1546*/ {"gen_problem"},
1561 /* 1547*/ {"inv_problem"},
1562 /* 1548*/ {"res_problem"},
1563 /* 1549*/ {"err_problem"},
1564 /* 1550*/ {"op_code"},
1565 /* 1551*/ {"params"},
1566 /* 1552*/ {"inv_id"},
1567 /* 1553*/ {"sequence"},
1568 /* 1554*/ {"noa"},
1569 /* 1555*/ {"npi"},
1570 /* 1556*/ {"bcdDigit"},
1571 /* 1557*/ {"tos"},
1572 /* 1558*/ {"oei"},
1573 /* 1559*/ {""},
1574 /* 1560*/ {"subadr_str"},
1575 /* 1561*/ {"bearerService"},
1576 /* 1562*/ {"teleservice"},
1577 /* 1563*/ {"ssCode"},
1578 /* 1564*/ {"basicService"},
1579 /* 1565*/ {"ssCode"},
1580 /* 1566*/ {"basicService"},
1581 /* 1567*/ {"forwardedToNumber"},
1582 /* 1568*/ {"forwardedToSubaddress"},
1583 /* 1569*/ {"noReplyConditionTime"},
1584 /* 1570*/ {"defaultPriority"},
1585 /* 1571*/ {"basicService"},
1586 /* 1572*/ {"ssStatus"},
1587 /* 1573*/ {"cbf"},
1588 /* 1574*/ {"ssCode"},
1589 /* 1575*/ {"callBarringFeatureList"},
1590 /* 1576*/ {"basicService"},
1591 /* 1577*/ {"preferentialCugIndicator"},
1592 /* 1578*/ {"interCugRestrictions"},
1593 /* 1579*/ {"cugf"},
1594 /* 1580*/ {"notify_fwd_pty"},
1595 /* 1581*/ {"notify_clg_pty"},
1596 /* 1582*/ {"fwd_reason"},
1597 /* 1583*/ {""},
1598 /* 1584*/ {"basicService"},
1599 /* 1585*/ {"ssStatus"},
1600 /* 1586*/ {"forwardedToNumber"},
1601 /* 1587*/ {"forwardedToSubaddress"},
1602 /* 1588*/ {"forwardingOptions"},
1603 /* 1589*/ {"noReplyConditionTime"},
1604 /* 1590*/ {"ff"},
1605 /* 1591*/ {"ssCode"},
1606 /* 1592*/ {"forwardingFeatureList"},
1607 /* 1593*/ {"cliRestrictionOption"},
1608 /* 1594*/ {"overrideCategory"},
1609 /* 1595*/ {""},
1610 /* 1596*/ {"clgSubscriber"},
1611 /* 1597*/ {"fwgSubscriber"},
1612 /* 1598*/ {"fwdSubscriber"},
1613 /* 1599*/ {"ssCode"},
1614 /* 1600*/ {"basicService"},
1615 /* 1601*/ {"ssStatus"},
1616 /* 1602*/ {"partyNumber"},
1617 /* 1603*/ {"partySubaddress"},
1618 /* 1604*/ {"presentationAllowedAddress"},
1619 /* 1605*/ {"presentationRestricted"},
1620 /* 1606*/ {"numberNotAvailableDueToInterworking"},
1621 /* 1607*/ {"presentationRestrictedAddress"},
1622 /* 1608*/ {"ectCallState"},
1623 /* 1609*/ {"rdn"},
1624 /* 1610*/ {"ussdDataCodingScheme"},
1625 /* 1611*/ {"ussdString"},
1626 /* 1612*/ {"cugIndex"},
1627 /* 1613*/ {"suppressPrefCUG"},
1628 /* 1614*/ {"suppressOA"},
1629 /* 1615*/ {"digit"},
1630 /* 1616*/ {"e_val"},
1631 /* 1617*/ {"e1"},
1632 /* 1618*/ {"e2"},
1633 /* 1619*/ {"e3"},
1634 /* 1620*/ {"e4"},
1635 /* 1621*/ {"e5"},
1636 /* 1622*/ {"e6"},
1637 /* 1623*/ {"e7"},
1638 /* 1624*/ {"ssCode"},
1639 /* 1625*/ {"chargingInformation"},
1640 /* 1626*/ {"inv_id"},
1641 /* 1627*/ {"rej_params_sat"},
1642 /* 1628*/ {"err_params_sat"},
1643 /* 1629*/ {"inv_id"},
1644 /* 1630*/ {"err_desc_sat"},
1645 /* 1631*/ {"res_params_sat"},
1646 /* 1632*/ {"res_desc_sat"},
1647 /* 1633*/ {"inv_id"},
1648 /* 1634*/ {"seq_sat"},
1649 /* 1635*/ {"ccbsIndex"},
1650 /* 1636*/ {"b_subscriberNumber"},
1651 /* 1637*/ {"b_subscriberSubaddress"},
1652 /* 1638*/ {"basicServiceGroup"},
1653 /* 1639*/ {"ccbsf"},
1654 /* 1640*/ {"ssStatus"},
1655 /* 1641*/ {"cliRestrictionOption"},
1656 /* 1642*/ {"maxEntitledPriority"},
1657 /* 1643*/ {"defaultPriority"},
1658 /* 1644*/ {"ccbsFeatureList"},
1659 /* 1645*/ {"dataCodingScheme"},
1660 /* 1646*/ {"lengthInCharacters"},
1661 /* 1647*/ {"nameString"},
1662 /* 1648*/ {"ussdDataCodingScheme"},
1663 /* 1649*/ {"ussdString"},
1664 /* 1650*/ {"alertingPattern"},
1665 /* 1651*/ {"msisdn"},
1666 /* 1652*/ {"ssCode"},
1667 /* 1653*/ {"ccbsIndex"},
1668 /* 1654*/ {"ssCode"},
1669 /* 1655*/ {"ssStatus"},
1670 /* 1656*/ {"ccbsf"},
1671 /* 1657*/ {"deflectedToNumber"},
1672 /* 1658*/ {"deflectedToSubaddress"},
1673 /* 1659*/ {"uusService"},
1674 /* 1660*/ {"uusRequired"},
1675 /* 1661*/ {"extension"},
1676 /* 1662*/ {"privateExtensionList"},
1677 /* 1663*/ {"pcsExtension"},
1678 /* 1664*/ {"extensionContainer"},
1679 /* 1665*/ {"unknwnSubscrDiag"},
1680 /* 1666*/ {"extensionContainer"},
1681 /* 1667*/ {"callBarringCause"},
1682 /* 1668*/ {"extensionContainer"},
1683 /* 1669*/ {"extensionContainer"},
1684 /* 1670*/ {"absentSubscriberReason"},
1685 /* 1671*/ {"networkResource"},
1686 /* 1672*/ {"extensionContainer"},
1687 /* 1673*/ {"namePresentationAllowed"},
1688 /* 1674*/ {"presentationRestricted"},
1689 /* 1675*/ {"nameUnavailable"},
1690 /* 1676*/ {"namePresentationRestricted"},
1691 /* 1677*/ {"callingName"},
1692 /* 1678*/ {"ssCode"},
1693 /* 1679*/ {"ssStatus"},
1694 /* 1680*/ {"ssNotification"},
1695 /* 1681*/ {"callIsWaitingIndicator"},
1696 /* 1682*/ {"callOnHoldIndicator"},
1697 /* 1683*/ {"mptyIndicator"},
1698 /* 1684*/ {"cugIndex"},
1699 /* 1685*/ {"clirSuppressionRejected"},
1700 /* 1686*/ {"ectIndicator"},
1701 /* 1687*/ {"nameIndicator"},
1702 /* 1688*/ {"ccbsf"},
1703 /* 1689*/ {"alertingPattern"},
1704 /* 1690*/ {"bearerService"},
1705 /* 1691*/ {"teleservice"},
1706 /* 1692*/ {"basicServiceGroupList_value"},
1707 /* 1693*/ {"ssStatus"},
1708 /* 1694*/ {"forwardedToNumber"},
1709 /* 1695*/ {"basicServiceGroupList"},
1710 /* 1696*/ {"forwardingFeatureList"},
1711 /* 1697*/ {"cliRestrictionInfo"},
1712 /* 1698*/ {"cugIndex"},
1713 /* 1699*/ {"cugInterlock"},
1714 /* 1700*/ {"intraCugOptions"},
1715 /* 1701*/ {"basicServiceGroupList"},
1716 /* 1702*/ {"cugs"},
1717 /* 1703*/ {"cugSubscriptionList"},
1718 /* 1704*/ {"cugFeatureList"},
1719 /* 1705*/ {"ssCode"},
1720 /* 1706*/ {"ssStatus"},
1721 /* 1707*/ {"ssSubscriptionOption"},
1722 /* 1708*/ {"basicServiceGroupList"},
1723 /* 1709*/ {"defaultPriority"},
1724 /* 1710*/ {"forwardingInfo"},
1725 /* 1711*/ {"callBarringInfo"},
1726 /* 1712*/ {"cugInfo"},
1727 /* 1713*/ {"ssData"},
1728 /* 1714*/ {"msg_type"},
1729 /* 1715*/ {"inv_comp"},
1730 /* 1716*/ {"res_comp"},
1731 /* 1717*/ {"err_comp"},
1732 /* 1718*/ {"rej_comp"},
1733 /* 1719*/ {"msg_type"},
1734 /* 1720*/ {"registerSSArg"},
1735 /* 1721*/ {"msg_type"},
1736 /* 1722*/ {"ssInfo"},
1737 /* 1723*/ {"msg_type"},
1738 /* 1724*/ {"ssForBS"},
1739 /* 1725*/ {"msg_type"},
1740 /* 1726*/ {"ssInfo"},
1741 /* 1727*/ {"msg_type"},
1742 /* 1728*/ {"ssForBS"},
1743 /* 1729*/ {"msg_type"},
1744 /* 1730*/ {"ssInfo"},
1745 /* 1731*/ {"msg_type"},
1746 /* 1732*/ {"ssForBS"},
1747 /* 1733*/ {"msg_type"},
1748 /* 1734*/ {"ssInfo"},
1749 /* 1735*/ {"msg_type"},
1750 /* 1736*/ {"ssForBS"},
1751 /* 1737*/ {"msg_type"},
1752 /* 1738*/ {"interrogateSSRes"},
1753 /* 1739*/ {"msg_type"},
1754 /* 1740*/ {"notifySSArg"},
1755 /* 1741*/ {"msg_type"},
1756 /* 1742*/ {"ssCode"},
1757 /* 1743*/ {"msg_type"},
1758 /* 1744*/ {"newPassword"},
1759 /* 1745*/ {"msg_type"},
1760 /* 1746*/ {"guidanceInfo"},
1761 /* 1747*/ {"msg_type"},
1762 /* 1748*/ {"currPassword"},
1763 /* 1749*/ {"msg_type"},
1764 /* 1750*/ {"ssUserData"},
1765 /* 1751*/ {"msg_type"},
1766 /* 1752*/ {"ssUserData"},
1767 /* 1753*/ {"msg_type"},
1768 /* 1754*/ {"ussdArg"},
1769 /* 1755*/ {"msg_type"},
1770 /* 1756*/ {"ussdRes"},
1771 /* 1757*/ {"msg_type"},
1772 /* 1758*/ {"ussdArg"},
1773 /* 1759*/ {"msg_type"},
1774 /* 1760*/ {"ussdRes"},
1775 /* 1761*/ {"msg_type"},
1776 /* 1762*/ {"ussdArg"},
1777 /* 1763*/ {"msg_type"},
1778 /* 1764*/ {"forwardCUGInfoArg"},
1779 /* 1765*/ {"msg_type"},
1780 /* 1766*/ {"zzzzEmpty"},
1781 /* 1767*/ {"msg_type"},
1782 /* 1768*/ {"zzzzEmpty"},
1783 /* 1769*/ {"msg_type"},
1784 /* 1770*/ {"zzzzEmpty"},
1785 /* 1771*/ {"msg_type"},
1786 /* 1772*/ {"zzzzEmpty"},
1787 /* 1773*/ {"msg_type"},
1788 /* 1774*/ {"forwardChargeAdviceArg"},
1789 /* 1775*/ {"msg_type"},
1790 /* 1776*/ {"zzzzEmpty"},
1791 /* 1777*/ {"msg_type"},
1792 /* 1778*/ {"res_comp_sat"},
1793 /* 1779*/ {"err_comp_sat"},
1794 /* 1780*/ {"rej_comp_sat"},
1795 /* 1781*/ {"msg_type"},
1796 /* 1782*/ {"eraseCCEntryArg"},
1797 /* 1783*/ {"msg_type"},
1798 /* 1784*/ {"eraseCCEntryRes"},
1799 /* 1785*/ {"msg_type"},
1800 /* 1786*/ {"accRegisterCCEntryArg"},
1801 /* 1787*/ {"msg_type"},
1802 /* 1788*/ {"accRegisterCCEntryRes"},
1803 /* 1789*/ {"msg_type"},
1804 /* 1790*/ {"callDeflectionArg"},
1805 /* 1791*/ {"msg_type"},
1806 /* 1792*/ {"userUserServiceArg"},
1807 /* 1793*/ {"msg_type"},
1808 /* 1794*/ {"unknownSubscriberParam"},
1809 /* 1795*/ {"msg_type"},
1810 /* 1796*/ {"illegalSubscriberParam"},
1811 /* 1797*/ {"msg_type"},
1812 /* 1798*/ {"bearerServNotProvParam"},
1813 /* 1799*/ {"msg_type"},
1814 /* 1800*/ {"teleServNotProvParam"},
1815 /* 1801*/ {"msg_type"},
1816 /* 1802*/ {"teleServNotProvParam"},
1817 /* 1803*/ {"msg_type"},
1818 /* 1804*/ {"callBarringCause"},
1819 /* 1805*/ {"extCallBarredParam"},
1820 /* 1806*/ {"msg_type"},
1821 /* 1807*/ {"ssIncompatibilityCause"},
1822 /* 1808*/ {"msg_type"},
1823 /* 1809*/ {"facilityNotSupParam"},
1824 /* 1810*/ {"msg_type"},
1825 /* 1811*/ {"absentSubscriberParam"},
1826 /* 1812*/ {"msg_type"},
1827 /* 1813*/ {"networkResource"},
1828 /* 1814*/ {"extSystemFailureParam"},
1829 /* 1815*/ {"msg_type"},
1830 /* 1816*/ {"dataMissingParam"},
1831 /* 1817*/ {"msg_type"},
1832 /* 1818*/ {"unexpectedDataParam"},
1833 /* 1819*/ {"msg_type"},
1834 /* 1820*/ {"ssStatus"},
1835 /* 1821*/ {"msg_type"},
1836 /* 1822*/ {"pwRegistrationFailureCause"},
1837 /* 1823*/ {"cmd_nr"},
1838 /* 1824*/ {"cmd_typ"},
1839 /* 1825*/ {"cmd_qlf"},
1840 /* 1826*/ {"src_dev"},
1841 /* 1827*/ {"dest_dev"},
1842 /* 1828*/ {"cmd_details"},
1843 /* 1829*/ {"dev_ids"},
1844 /* 1830*/ {"cmd_prms"},
1845 /* 1831*/ {"noa"},
1846 /* 1832*/ {"npi"},
1847 /* 1833*/ {"ss_ctrl_string"},
1848 /* 1834*/ {"noa"},
1849 /* 1835*/ {"npi"},
1850 /* 1836*/ {"bcdDigit"},
1851 /* 1837*/ {"tos"},
1852 /* 1838*/ {"oei"},
1853 /* 1839*/ {""},
1854 /* 1840*/ {"subadr_str"},
1855 /* 1841*/ {"gen"},
1856 /* 1842*/ {"add"},
1857 /* 1843*/ {"dcs"},
1858 /* 1844*/ {"text_str"},
1859 /* 1845*/ {"item"},
1860 /* 1846*/ {"mnc_mcc"},
1861 /* 1847*/ {"lac"},
1862 /* 1848*/ {"cid"},
1863 /* 1849*/ {"dev_ids"},
1864 /* 1850*/ {"cb_page"},
1865 /* 1851*/ {"time_unit"},
1866 /* 1852*/ {"time_ivl"},
1867 /* 1853*/ {"dcs"},
1868 /* 1854*/ {"ussd_str"},
1869 /* 1855*/ {"addr"},
1870 /* 1856*/ {"ss_string"},
1871 /* 1857*/ {"ussd_string"},
1872 /* 1858*/ {"cap_cnf_parms"},
1873 /* 1859*/ {"subaddr"},
1874 /* 1860*/ {"alpha_id"},
1875 /* 1861*/ {"bc_rpi"},
1876 /* 1862*/ {"cap_cnf_parms_2"},
1877 /* 1863*/ {"dev_ids"},
1878 /* 1864*/ {"addr"},
1879 /* 1865*/ {"ss_string"},
1880 /* 1866*/ {"ussd_string"},
1881 /* 1867*/ {"cap_cnf_parms"},
1882 /* 1868*/ {"subaddr"},
1883 /* 1869*/ {"cc_smc_loc_info"},
1884 /* 1870*/ {"cap_cnf_parms_2"},
1885 /* 1871*/ {"tpdu_data"},
1886 /* 1872*/ {"icon_qu"},
1887 /* 1873*/ {"icon_id"},
1888 /* 1874*/ {"event"},
1889 /* 1875*/ {"bcdDigit"},
1890 /* 1876*/ {"ti"},
1891 /* 1877*/ {""},
1892 /* 1878*/ {"ti_oct"},
1893 /* 1879*/ {"cs"},
1894 /* 1880*/ {"noa"},
1895 /* 1881*/ {"npi"},
1896 /* 1882*/ {"bcdDigit"},
1897 /* 1883*/ {"dev_ids"},
1898 /* 1884*/ {"sm_addr"},
1899 /* 1885*/ {"sm_addr_2"},
1900 /* 1886*/ {"cc_smc_loc_info"},
1901 /* 1887*/ {"sm_addr"},
1902 /* 1888*/ {"sm_addr_2"},
1903 /* 1889*/ {"alpha_id"},
1904 /* 1890*/ {"text_str"},
1905 /* 1891*/ {"ch_dat_str"},
1906 /* 1892*/ {"oth_addr_type"},
1907 /* 1893*/ {"ipv4_addr"},
1908 /* 1894*/ {"ipv6_addr"},
1909 /* 1895*/ {"trans_prot_type"},
1910 /* 1896*/ {"port_number"},
1911 /* 1897*/ {"browser"},
1912 /* 1898*/ {"url_value"},
1913 /* 1899*/ {"prov_file_id"},
1914 /* 1900*/ {"brows_term_s"},
1915 /* 1901*/ {"chan_stat_link"},
1916 /* 1902*/ {"chan_stat_inf1"},
1917 /* 1903*/ {"chan_id"},
1918 /* 1904*/ {"chan_stat_inf2"},
1919 /* 1905*/ {"ev_list"},
1920 /* 1906*/ {"dev_ids"},
1921 /* 1907*/ {"ti_list"},
1922 /* 1908*/ {"addr"},
1923 /* 1909*/ {"subaddr"},
1924 /* 1910*/ {"cause"},
1925 /* 1911*/ {"loc_state"},
1926 /* 1912*/ {"cc_smc_loc_info"},
1927 /* 1913*/ {"brows_term_cause"},
1928 /* 1914*/ {"chan_stat"},
1929 /* 1915*/ {"chan_dat_lth"},
1930 /* 1916*/ {"csd_speed"},
1931 /* 1917*/ {"csd_name"},
1932 /* 1918*/ {"csd_ce"},
1933 /* 1919*/ {"gprs_prec"},
1934 /* 1920*/ {"gprs_delay"},
1935 /* 1921*/ {"gprs_rely"},
1936 /* 1922*/ {"gprs_peak"},
1937 /* 1923*/ {"gprs_mean"},
1938 /* 1924*/ {"gprs_pdp_type"},
1939 /* 1925*/ {"bear_type"},
1940 /* 1926*/ {"csd_bear_prm"},
1941 /* 1927*/ {"gprs_bear_prm"},
1942 /* 1928*/ {"n_acc_name"},
1943 /* 1929*/ {"text_str"},
1944 /* 1930*/ {"lang_buf"},
1945 /* 1931*/ {"msg_type"},
1946 /* 1932*/ {"pas_cmd"},
1947 /* 1933*/ {"msg_type"},
1948 /* 1934*/ {"alpha_id"},
1949 /* 1935*/ {"ss_string"},
1950 /* 1936*/ {"icon"},
1951 /* 1937*/ {"msg_type"},
1952 /* 1938*/ {"alpha_id"},
1953 /* 1939*/ {"addr"},
1954 /* 1940*/ {"cap_cnf_parms"},
1955 /* 1941*/ {"subaddr"},
1956 /* 1942*/ {"dur"},
1957 /* 1943*/ {"icon"},
1958 /* 1944*/ {"alpha_id_2"},
1959 /* 1945*/ {"icon_2"},
1960 /* 1946*/ {"msg_type"},
1961 /* 1947*/ {"alpha_id"},
1962 /* 1948*/ {"addr"},
1963 /* 1949*/ {"smpdu"},
1964 /* 1950*/ {"icon"},
1965 /* 1951*/ {"msg_type"},
1966 /* 1952*/ {"alpha_id"},
1967 /* 1953*/ {"ussd_string"},
1968 /* 1954*/ {"icon"},
1969 /* 1955*/ {"msg_type"},
1970 /* 1956*/ {"alpha_id"},
1971 /* 1957*/ {"dtmf_string"},
1972 /* 1958*/ {"icon"},
1973 /* 1959*/ {"msg_type"},
1974 /* 1960*/ {"ev_list"},
1975 /* 1961*/ {"msg_type"},
1976 /* 1962*/ {"cmd_details"},
1977 /* 1963*/ {"dev_ids"},
1978 /* 1964*/ {"res"},
1979 /* 1965*/ {"dur"},
1980 /* 1966*/ {"text"},
1981 /* 1967*/ {"item_id"},
1982 /* 1968*/ {"cc_smc_loc_info"},
1983 /* 1969*/ {"imei"},
1984 /* 1970*/ {"ntw_msr_res"},
1985 /* 1971*/ {"bcch_list"},
1986 /* 1972*/ {"dtt"},
1987 /* 1973*/ {"cc_req_act"},
1988 /* 1974*/ {"res_2"},
1989 /* 1975*/ {"at_resp"},
1990 /* 1976*/ {"chan_data"},
1991 /* 1977*/ {"chan_stat"},
1992 /* 1978*/ {"chan_dat_lth"},
1993 /* 1979*/ {"bear_desc"},
1994 /* 1980*/ {"buffer_size"},
1995 /* 1981*/ {"lang"},
1996 /* 1982*/ {"msg_type"},
1997 /* 1983*/ {"cbd_cmd"},
1998 /* 1984*/ {"cc_cmd"},
1999 /* 1985*/ {"smc_cmd"},
2000 /* 1986*/ {"evd_cmd"},
2001 /* 1987*/ {"msg_type"},
2002 /* 1988*/ {"ccr_allw"},
2003 /* 1989*/ {"ccr_not_allw"},
2004 /* 1990*/ {"ccr_allw_mdfy"},
2005 /* 1991*/ {"msg_type"},
2006 /* 1992*/ {"smcr_allw"},
2007 /* 1993*/ {"smcr_not_allw"},
2008 /* 1994*/ {"smcr_allw_mdfy"},
2009 /* 1995*/ {"msg_type"},
2010 /* 1996*/ {"alpha_id"},
2011 /* 1997*/ {"at_string"},
2012 /* 1998*/ {"icon"},
2013 /* 1999*/ {"msg_type"},
2014 /* 2000*/ {"alpha_id"},
2015 /* 2001*/ {"icon"},
2016 /* 2002*/ {"addr"},
2017 /* 2003*/ {"subaddr"},
2018 /* 2004*/ {"dur"},
2019 /* 2005*/ {"dur2"},
2020 /* 2006*/ {"bear_desc"},
2021 /* 2007*/ {"buffer_size"},
2022 /* 2008*/ {"nan_buf"},
2023 /* 2009*/ {"other_addr"},
2024 /* 2010*/ {"text"},
2025 /* 2011*/ {"text2"},
2026 /* 2012*/ {"if_transp_lev"},
2027 /* 2013*/ {"data_dest_addr"},
2028 /* 2014*/ {"msg_type"},
2029 /* 2015*/ {"alpha_id"},
2030 /* 2016*/ {"icon"},
2031 /* 2017*/ {"msg_type"},
2032 /* 2018*/ {"alpha_id"},
2033 /* 2019*/ {"icon"},
2034 /* 2020*/ {"chan_dat_lth"},
2035 /* 2021*/ {"msg_type"},
2036 /* 2022*/ {"alpha_id"},
2037 /* 2023*/ {"icon"},
2038 /* 2024*/ {"chan_data"},
2039 /* 2025*/ {"msg_type"},
2040 /* 2026*/ {"msg_type"},
2041 /* 2027*/ {"browser_id"},
2042 /* 2028*/ {"url"},
2043 /* 2029*/ {"bearer"},
2044 /* 2030*/ {"prov_file_ref"},
2045 /* 2031*/ {"text"},
2046 /* 2032*/ {"alpha_id"},
2047 /* 2033*/ {"icon"},
2048 /* 2034*/ {""},
2049 /* 2035*/ {"v8"},
2050 /* 2036*/ {"n_byte"},
2051 /* 2037*/ {""},
2052 /* 2038*/ {"ready_tx_fax"},
2053 /* 2039*/ {"rec_fax_op"},
2054 /* 2040*/ {"data_sig_rate"},
2055 /* 2041*/ {"R8_lines_pels"},
2056 /* 2042*/ {"two_dim_coding"},
2057 /* 2043*/ {"rec_width"},
2058 /* 2044*/ {"max_rec_len"},
2059 /* 2045*/ {"min_scan_time"},
2060 /* 2046*/ {""},
2061 /* 2047*/ {"uncomp_mode"},
2062 /* 2048*/ {"err_corr_mode"},
2063 /* 2049*/ {""},
2064 /* 2050*/ {"t6_coding"},
2065 /* 2051*/ {""},
2066 /* 2052*/ {"R8_lines"},
2067 /* 2053*/ {"r_300_pels"},
2068 /* 2054*/ {"R16_lines_pels"},
2069 /* 2055*/ {"i_res_pref"},
2070 /* 2056*/ {"m_res_pref"},
2071 /* 2057*/ {"min_scan_time_hr"},
2072 /* 2058*/ {"sel_polling"},
2073 /* 2059*/ {"subaddr"},
2074 /* 2060*/ {"password"},
2075 /* 2061*/ {"ready_tx_doc"},
2076 /* 2062*/ {""},
2077 /* 2063*/ {"bft"},
2078 /* 2064*/ {"dtm"},
2079 /* 2065*/ {"edi"},
2080 /* 2066*/ {"btm"},
2081 /* 2067*/ {""},
2082 /* 2068*/ {"ready_tx_mixed"},
2083 /* 2069*/ {"char_mode"},
2084 /* 2070*/ {""},
2085 /* 2071*/ {"mixed_mode"},
2086 /* 2072*/ {""},
2087 /* 2073*/ {"proc_mode_26"},
2088 /* 2074*/ {"dig_network_cap"},
2089 /* 2075*/ {"duplex"},
2090 /* 2076*/ {"jpeg"},
2091 /* 2077*/ {"full_colour"},
2092 /* 2078*/ {""},
2093 /* 2079*/ {"r_12_bits_pel_comp"},
2094 /* 2080*/ {"no_subsamp"},
2095 /* 2081*/ {"cust_illum"},
2096 /* 2082*/ {"cust_gamut"},
2097 /* 2083*/ {"na_letter"},
2098 /* 2084*/ {"na_legal"},
2099 /* 2085*/ {"sing_prog_seq_coding_basic"},
2100 /* 2086*/ {"sing_prog_seq_coding_L0"},
2101 /* 2087*/ {""},
2102 /* 2088*/ {"rec_fax_op"},
2103 /* 2089*/ {"data_sig_rate"},
2104 /* 2090*/ {"R8_lines_pels"},
2105 /* 2091*/ {"two_dim_coding"},
2106 /* 2092*/ {"rec_width"},
2107 /* 2093*/ {"max_rec_len"},
2108 /* 2094*/ {"min_scan_time"},
2109 /* 2095*/ {""},
2110 /* 2096*/ {"uncomp_mode"},
2111 /* 2097*/ {"err_corr_mode"},
2112 /* 2098*/ {"frame_size"},
2113 /* 2099*/ {""},
2114 /* 2100*/ {"t6_coding"},
2115 /* 2101*/ {""},
2116 /* 2102*/ {"R8_lines"},
2117 /* 2103*/ {"r_300_pels"},
2118 /* 2104*/ {"R16_lines_pels"},
2119 /* 2105*/ {"resolution_type"},
2120 /* 2106*/ {""},
2121 /* 2107*/ {"subaddr"},
2122 /* 2108*/ {"password"},
2123 /* 2109*/ {""},
2124 /* 2110*/ {"bft"},
2125 /* 2111*/ {"dtm"},
2126 /* 2112*/ {"edi"},
2127 /* 2113*/ {"btm"},
2128 /* 2114*/ {""},
2129 /* 2115*/ {"char_mode"},
2130 /* 2116*/ {""},
2131 /* 2117*/ {"mixed_mode"},
2132 /* 2118*/ {""},
2133 /* 2119*/ {"proc_mode_26"},
2134 /* 2120*/ {"dig_network_cap"},
2135 /* 2121*/ {"duplex"},
2136 /* 2122*/ {"jpeg"},
2137 /* 2123*/ {"full_colour"},
2138 /* 2124*/ {"huffman_tables"},
2139 /* 2125*/ {"r_12_bits_pel_comp"},
2140 /* 2126*/ {"no_subsamp"},
2141 /* 2127*/ {"cust_illum"},
2142 /* 2128*/ {"cust_gamut"},
2143 /* 2129*/ {"na_letter"},
2144 /* 2130*/ {"na_legal"},
2145 /* 2131*/ {"sing_prog_seq_coding_basic"},
2146 /* 2132*/ {"sing_prog_seq_coding_L0"},
2147 /* 2133*/ {"fcf"},
2148 /* 2134*/ {"cap0_rcv"},
2149 /* 2135*/ {"cap1_rcv"},
2150 /* 2136*/ {"cap2_rcv"},
2151 /* 2137*/ {"cap3_rcv"},
2152 /* 2138*/ {"cap4_rcv"},
2153 /* 2139*/ {"cap5_rcv"},
2154 /* 2140*/ {"cap6_rcv"},
2155 /* 2141*/ {"cap7_rcv"},
2156 /* 2142*/ {"fcf"},
2157 /* 2143*/ {"cld_sub_nr"},
2158 /* 2144*/ {"fcf"},
2159 /* 2145*/ {"non_std_fac"},
2160 /* 2146*/ {"fcf"},
2161 /* 2147*/ {"cap0_rcv"},
2162 /* 2148*/ {"cap1_rcv"},
2163 /* 2149*/ {"cap2_rcv"},
2164 /* 2150*/ {"cap3_rcv"},
2165 /* 2151*/ {"cap4_rcv"},
2166 /* 2152*/ {"cap5_rcv"},
2167 /* 2153*/ {"cap6_rcv"},
2168 /* 2154*/ {"cap7_rcv"},
2169 /* 2155*/ {"fcf"},
2170 /* 2156*/ {"clg_sub_nr"},
2171 /* 2157*/ {"fcf"},
2172 /* 2158*/ {"non_std_fac"},
2173 /* 2159*/ {"fcf"},
2174 /* 2160*/ {"pm_pword"},
2175 /* 2161*/ {"fcf"},
2176 /* 2162*/ {"pm_sub_addr"},
2177 /* 2163*/ {"fcf"},
2178 /* 2164*/ {"cap0_snd"},
2179 /* 2165*/ {"cap1_snd"},
2180 /* 2166*/ {"cap2_snd"},
2181 /* 2167*/ {"cap3_snd"},
2182 /* 2168*/ {"cap4_snd"},
2183 /* 2169*/ {"cap5_snd"},
2184 /* 2170*/ {"cap6_snd"},
2185 /* 2171*/ {"cap7_snd"},
2186 /* 2172*/ {"fcf"},
2187 /* 2173*/ {"tra_sub_nr"},
2188 /* 2174*/ {"fcf"},
2189 /* 2175*/ {"non_std_fac"},
2190 /* 2176*/ {"fcf"},
2191 /* 2177*/ {"sub_addr"},
2192 /* 2178*/ {"fcf"},
2193 /* 2179*/ {"sm_pword"},
2194 /* 2180*/ {"fcf"},
2195 /* 2181*/ {"fcf"},
2196 /* 2182*/ {"fcf"},
2197 /* 2183*/ {"fcf"},
2198 /* 2184*/ {"fcf"},
2199 /* 2185*/ {"fcf"},
2200 /* 2186*/ {"fcf"},
2201 /* 2187*/ {"fcf"},
2202 /* 2188*/ {"fcf"},
2203 /* 2189*/ {"fcf"},
2204 /* 2190*/ {"fcf"},
2205 /* 2191*/ {"fcf"},
2206 /* 2192*/ {"fcf"},
2207 /* 2193*/ {"fcf"},
2208 /* 2194*/ {"fcf"},
2209 /* 2195*/ {"rand_value"},
2210 /* 2196*/ {""},
2211 /* 2197*/ {"key_sequence"},
2212 /* 2198*/ {"a_c_reference_number_value"},
2213 /* 2199*/ {""},
2214 /* 2200*/ {"imeisv_request_value"},
2215 /* 2201*/ {""},
2216 /* 2202*/ {"type_of_algorithm"},
2217 /* 2203*/ {"sres_value"},
2218 /* 2204*/ {""},
2219 /* 2205*/ {"type_of_identity_2"},
2220 /* 2206*/ {"mcc"},
2221 /* 2207*/ {"mnc"},
2222 /* 2208*/ {"lac"},
2223 /* 2209*/ {"rac"},
2224 /* 2210*/ {""},
2225 /* 2211*/ {"update_type_value"},
2226 /* 2212*/ {""},
2227 /* 2213*/ {"result_value"},
2228 /* 2214*/ {"type_of_identity"},
2229 /* 2215*/ {"odd_even"},
2230 /* 2216*/ {"identity_digit"},
2231 /* 2217*/ {""},
2232 /* 2218*/ {"tmsi"},
2233 /* 2219*/ {"dmy"},
2234 /* 2220*/ {""},
2235 /* 2221*/ {"type_of_attach"},
2236 /* 2222*/ {""},
2237 /* 2223*/ {"d_type_of_detach"},
2238 /* 2224*/ {"power_off"},
2239 /* 2225*/ {"u_type_of_detach"},
2240 /* 2226*/ {"split_pg_cycle_code"},
2241 /* 2227*/ {""},
2242 /* 2228*/ {"split_on_ccch"},
2243 /* 2229*/ {"non_drx_timer"},
2244 /* 2230*/ {"timer_unit"},
2245 /* 2231*/ {"timer_value"},
2246 /* 2232*/ {"timer_unit"},
2247 /* 2233*/ {"timer_value"},
2248 /* 2234*/ {"p_tmsi_signature_value"},
2249 /* 2235*/ {""},
2250 /* 2236*/ {"force_to_standby_value"},
2251 /* 2237*/ {""},
2252 /* 2238*/ {"radio_priority_level_value"},
2253 /* 2239*/ {"cause_value"},
2254 /* 2240*/ {"nsapi"},
2255 /* 2241*/ {"receive_n_pdu_number_val"},
2256 /* 2242*/ {"receive_n_pdu_number_list_val"},
2257 /* 2243*/ {""},
2258 /* 2244*/ {""},
2259 /* 2245*/ {"code"},
2260 /* 2246*/ {"add_ci"},
2261 /* 2247*/ {"nr_sparebits"},
2262 /* 2248*/ {"text_string"},
2263 /* 2249*/ {"time_zone_value"},
2264 /* 2250*/ {"year"},
2265 /* 2251*/ {"month"},
2266 /* 2252*/ {"day"},
2267 /* 2253*/ {"hour"},
2268 /* 2254*/ {"minute"},
2269 /* 2255*/ {"second"},
2270 /* 2256*/ {"time_zone_value"},
2271 /* 2257*/ {""},
2272 /* 2258*/ {"tmsi_flag"},
2273 /* 2259*/ {"gea_2"},
2274 /* 2260*/ {"gea_3"},
2275 /* 2261*/ {"gea_4"},
2276 /* 2262*/ {"gea_5"},
2277 /* 2263*/ {"gea_6"},
2278 /* 2264*/ {"gea_7"},
2279 /* 2265*/ {"gea_1"},
2280 /* 2266*/ {"sm_capabilities_gsm"},
2281 /* 2267*/ {"sm_capabilities_gprs"},
2282 /* 2268*/ {"ucs2_support"},
2283 /* 2269*/ {"ss_screening_indicator"},
2284 /* 2270*/ {"solsa_capability"},
2285 /* 2271*/ {"rev_level_ind"},
2286 /* 2272*/ {"pfc_feature_mode"},
2287 /* 2273*/ {"ext_gea_bits"},
2288 /* 2274*/ {""},
2289 /* 2275*/ {"mcc"},
2290 /* 2276*/ {"mnc"},
2291 /* 2277*/ {"eqv_plmn"},
2292 /* 2278*/ {"msg_type"},
2293 /* 2279*/ {"ms_network_capability"},
2294 /* 2280*/ {"attach_type"},
2295 /* 2281*/ {"ciphering_key_sequence_number"},
2296 /* 2282*/ {"drx_parameter"},
2297 /* 2283*/ {"gmobile_identity"},
2298 /* 2284*/ {"routing_area_identification"},
2299 /* 2285*/ {"ra_cap"},
2300 /* 2286*/ {"p_tmsi_signature"},
2301 /* 2287*/ {"ready_timer"},
2302 /* 2288*/ {"tmsi_status"},
2303 /* 2289*/ {"msg_type"},
2304 /* 2290*/ {"result_gmm"},
2305 /* 2291*/ {"force_to_standby"},
2306 /* 2292*/ {"rau_timer"},
2307 /* 2293*/ {"radio_priority"},
2308 /* 2294*/ {""},
2309 /* 2295*/ {"routing_area_identification"},
2310 /* 2296*/ {"p_tmsi_signature"},
2311 /* 2297*/ {"ready_timer"},
2312 /* 2298*/ {"gmobile_identity"},
2313 /* 2299*/ {"mobile_identity"},
2314 /* 2300*/ {"gmm_cause"},
2315 /* 2301*/ {"t3302"},
2316 /* 2302*/ {"cell_notification"},
2317 /* 2303*/ {"eqv_plmn_list"},
2318 /* 2304*/ {"msg_type"},
2319 /* 2305*/ {"msg_type"},
2320 /* 2306*/ {"gmm_cause"},
2321 /* 2307*/ {"msg_type"},
2322 /* 2308*/ {"d_detach_type"},
2323 /* 2309*/ {"force_to_standby"},
2324 /* 2310*/ {"gmm_cause"},
2325 /* 2311*/ {"msg_type"},
2326 /* 2312*/ {"u_detach_type"},
2327 /* 2313*/ {""},
2328 /* 2314*/ {"msg_type"},
2329 /* 2315*/ {"msg_type"},
2330 /* 2316*/ {"force_to_standby"},
2331 /* 2317*/ {""},
2332 /* 2318*/ {"msg_type"},
2333 /* 2319*/ {"update_type"},
2334 /* 2320*/ {"ciphering_key_sequence_number"},
2335 /* 2321*/ {"routing_area_identification"},
2336 /* 2322*/ {"ra_cap"},
2337 /* 2323*/ {"p_tmsi_signature"},
2338 /* 2324*/ {"ready_timer"},
2339 /* 2325*/ {"drx_parameter"},
2340 /* 2326*/ {"tmsi_status"},
2341 /* 2327*/ {"ms_network_capability"},
2342 /* 2328*/ {"msg_type"},
2343 /* 2329*/ {"force_to_standby"},
2344 /* 2330*/ {"result_gmm"},
2345 /* 2331*/ {"rau_timer"},
2346 /* 2332*/ {"routing_area_identification"},
2347 /* 2333*/ {"p_tmsi_signature"},
2348 /* 2334*/ {"gmobile_identity"},
2349 /* 2335*/ {"mobile_identity"},
2350 /* 2336*/ {"receive_n_pdu_number_list"},
2351 /* 2337*/ {"ready_timer"},
2352 /* 2338*/ {"gmm_cause"},
2353 /* 2339*/ {"t3302"},
2354 /* 2340*/ {"cell_notification"},
2355 /* 2341*/ {"eqv_plmn_list"},
2356 /* 2342*/ {"msg_type"},
2357 /* 2343*/ {"receive_n_pdu_number_list"},
2358 /* 2344*/ {"msg_type"},
2359 /* 2345*/ {"gmm_cause"},
2360 /* 2346*/ {"force_to_standby"},
2361 /* 2347*/ {""},
2362 /* 2348*/ {"msg_type"},
2363 /* 2349*/ {"gmobile_identity"},
2364 /* 2350*/ {"routing_area_identification"},
2365 /* 2351*/ {"force_to_standby"},
2366 /* 2352*/ {""},
2367 /* 2353*/ {"p_tmsi_signature"},
2368 /* 2354*/ {"msg_type"},
2369 /* 2355*/ {"msg_type"},
2370 /* 2356*/ {"ciphering_algorithm"},
2371 /* 2357*/ {"imeisv_request"},
2372 /* 2358*/ {"force_to_standby"},
2373 /* 2359*/ {"a_c_reference_number"},
2374 /* 2360*/ {"authentication_parameter_rand"},
2375 /* 2361*/ {"ciphering_key_sequence_number"},
2376 /* 2362*/ {"msg_type"},
2377 /* 2363*/ {"a_c_reference_number"},
2378 /* 2364*/ {""},
2379 /* 2365*/ {"authentication_parameter_sres"},
2380 /* 2366*/ {"gmobile_identity"},
2381 /* 2367*/ {"msg_type"},
2382 /* 2368*/ {"msg_type"},
2383 /* 2369*/ {"identity_type_2"},
2384 /* 2370*/ {"force_to_standby"},
2385 /* 2371*/ {"msg_type"},
2386 /* 2372*/ {"gmobile_identity"},
2387 /* 2373*/ {"msg_type"},
2388 /* 2374*/ {"gmm_cause"},
2389 /* 2375*/ {"msg_type"},
2390 /* 2376*/ {"full_network_name"},
2391 /* 2377*/ {"short_network_name"},
2392 /* 2378*/ {"time_zone"},
2393 /* 2379*/ {"time_zone_and_time"},
2394 /* 2380*/ {""},
2395 /* 2381*/ {"no_of_pdus"},
2396 /* 2382*/ {"pdu_description"},
2397 /* 2383*/ {""},
2398 /* 2384*/ {"dl_timeslot_offset"},
2399 /* 2385*/ {"mode_flag_val"},
2400 /* 2386*/ {"msg_type"},
2401 /* 2387*/ {"pdu_description_ie"},
2402 /* 2388*/ {"mode_flag"},
2403 /* 2389*/ {"flag"},
2404 /* 2390*/ {"ul_tfi"},
2405 /* 2391*/ {"dl_tfi"},
2406 /* 2392*/ {"peak_thr_class"},
2407 /* 2393*/ {"radio_prio"},
2408 /* 2394*/ {"rlc_mode"},
2409 /* 2395*/ {"llc_pdu_type"},
2410 /* 2396*/ {"rlc_octet_cnt"},
2411 /* 2397*/ {"bl_o_bl_per"},
2412 /* 2398*/ {"a_map_len"},
2413 /* 2399*/ {"alloc_map"},
2414 /* 2400*/ {"ext_len"},
2415 /* 2401*/ {"spare_ext"},
2416 /* 2402*/ {"ilev0"},
2417 /* 2403*/ {"ilev1"},
2418 /* 2404*/ {"ilev2"},
2419 /* 2405*/ {"ilev3"},
2420 /* 2406*/ {"ilev4"},
2421 /* 2407*/ {"ilev5"},
2422 /* 2408*/ {"ilev6"},
2423 /* 2409*/ {"ilev7"},
2424 /* 2410*/ {"c_value"},
2425 /* 2411*/ {"rxqual"},
2426 /* 2412*/ {"signvar"},
2427 /* 2413*/ {"ilev"},
2428 /* 2414*/ {"f_ack_ind"},
2429 /* 2415*/ {"ssn"},
2430 /* 2416*/ {"rbb"},
2431 /* 2417*/ {"t1"},
2432 /* 2418*/ {"t3"},
2433 /* 2419*/ {"t2"},
2434 /* 2420*/ {"flag"},
2435 /* 2421*/ {"rel"},
2436 /* 2422*/ {"abs"},
2437 /* 2423*/ {"tbf_s_time"},
2438 /* 2424*/ {"ts_alloc"},
2439 /* 2425*/ {""},
2440 /* 2426*/ {"flag"},
2441 /* 2427*/ {"block_struct"},
2442 /* 2428*/ {"alloc_map"},
2443 /* 2429*/ {"final_alloc"},
2444 /* 2430*/ {"flag"},
2445 /* 2431*/ {"ts_overr"},
2446 /* 2432*/ {"fa_s2"},
2447 /* 2433*/ {"gamma"},
2448 /* 2434*/ {"alpha"},
2449 /* 2435*/ {"gamma_tn"},
2450 /* 2436*/ {"ta_index"},
2451 /* 2437*/ {"ta_tn"},
2452 /* 2438*/ {"ta_value"},
2453 /* 2439*/ {"ta_index_tn"},
2454 /* 2440*/ {"chan_coding_cmd"},
2455 /* 2441*/ {"ack_nack_des"},
2456 /* 2442*/ {"cr_tlli"},
2457 /* 2443*/ {"pta"},
2458 /* 2444*/ {"pwr_par"},
2459 /* 2445*/ {"ext_bits"},
2460 /* 2446*/ {"f_alloc_ack"},
2461 /* 2447*/ {"msg_type"},
2462 /* 2448*/ {"access_type"},
2463 /* 2449*/ {"flag"},
2464 /* 2450*/ {"glob_tfi"},
2465 /* 2451*/ {"tlli_value"},
2466 /* 2452*/ {"ra_cap"},
2467 /* 2453*/ {"chan_req_des"},
2468 /* 2454*/ {"ma_ch_mark"},
2469 /* 2455*/ {"c_value"},
2470 /* 2456*/ {"signvar"},
2471 /* 2457*/ {"ilev"},
2472 /* 2458*/ {""},
2473 /* 2459*/ {"msg_type"},
2474 /* 2460*/ {"dl_tfi"},
2475 /* 2461*/ {"ack_nack_des"},
2476 /* 2462*/ {"chan_req_des"},
2477 /* 2463*/ {"chan_qual_rep"},
2478 /* 2464*/ {""},
2479 /* 2465*/ {"msg_type"},
2480 /* 2466*/ {"page_mode"},
2481 /* 2467*/ {""},
2482 /* 2468*/ {"ul_tfi"},
2483 /* 2469*/ {"egprs_flag"},
2484 /* 2470*/ {"gprs_ul_ack_nack_info"},
2485 /* 2471*/ {""},
2486 /* 2472*/ {"msg_type"},
2487 /* 2473*/ {"tlli_value"},
2488 /* 2474*/ {"pctrl_ack"},
2489 /* 2475*/ {""},
2490 /* 2476*/ {"msg_type"},
2491 /* 2477*/ {"tlli_value"},
2492 /* 2478*/ {""},
2493 /* 2479*/ {"wait_ind"},
2494 /* 2480*/ {"waitsize"},
2495 /* 2481*/ {"p0"},
2496 /* 2482*/ {"mode"},
2497 /* 2483*/ {"pr_mode"},
2498 /* 2484*/ {"msg_type"},
2499 /* 2485*/ {"psix_cm"},
2500 /* 2486*/ {"flag"},
2501 /* 2487*/ {"psix_cnt"},
2502 /* 2488*/ {"inst_bitmap"},
2503 /* 2489*/ {"psi_des"},
2504 /* 2490*/ {"add_msg_type"},
2505 /* 2491*/ {"msg_type"},
2506 /* 2492*/ {"add_msg_type"},
2507 /* 2493*/ {"flag"},
2508 /* 2494*/ {"ul_tfi"},
2509 /* 2495*/ {"dl_tfi"},
2510 /* 2496*/ {"flag"},
2511 /* 2497*/ {"glob_tfi"},
2512 /* 2498*/ {"flag2"},
2513 /* 2499*/ {"tlli_value"},
2514 /* 2500*/ {"flag3"},
2515 /* 2501*/ {"tqi"},
2516 /* 2502*/ {"flag"},
2517 /* 2503*/ {"glob_tfi"},
2518 /* 2504*/ {"flag2"},
2519 /* 2505*/ {"tlli_value"},
2520 /* 2506*/ {"peak_thr_class"},
2521 /* 2507*/ {"radio_prio"},
2522 /* 2508*/ {"rlc_mode"},
2523 /* 2509*/ {"llc_pdu_type"},
2524 /* 2510*/ {"rlc_octet_cnt"},
2525 /* 2511*/ {"ta_value"},
2526 /* 2512*/ {"flag"},
2527 /* 2513*/ {"ul_ta_index"},
2528 /* 2514*/ {"ul_ta_tn"},
2529 /* 2515*/ {"flag2"},
2530 /* 2516*/ {"dl_ta_index"},
2531 /* 2517*/ {"dl_ta_tn"},
2532 /* 2518*/ {"cm1"},
2533 /* 2519*/ {"cm2"},
2534 /* 2520*/ {"maio"},
2535 /* 2521*/ {"ma_num"},
2536 /* 2522*/ {"chamge_ma_sub"},
2537 /* 2523*/ {"maio"},
2538 /* 2524*/ {"hsn"},
2539 /* 2525*/ {"len_ma_list"},
2540 /* 2526*/ {"ma_list"},
2541 /* 2527*/ {"bl_o_bl_per"},
2542 /* 2528*/ {"a_map_len"},
2543 /* 2529*/ {"alloc_map"},
2544 /* 2530*/ {"alpha"},
2545 /* 2531*/ {"t_avg_w"},
2546 /* 2532*/ {"t_avg_t"},
2547 /* 2533*/ {"pb"},
2548 /* 2534*/ {"pc_meas_chan"},
2549 /* 2535*/ {"imeas_chan_list"},
2550 /* 2536*/ {"n_avg_i"},
2551 /* 2537*/ {"ext_len"},
2552 /* 2538*/ {"spare_ext"},
2553 /* 2539*/ {"bs_pcc_rel"},
2554 /* 2540*/ {"bs_pbcch_blks"},
2555 /* 2541*/ {"bs_pag_blks"},
2556 /* 2542*/ {"bs_prach_blks"},
2557 /* 2543*/ {"mcc"},
2558 /* 2544*/ {"mnc"},
2559 /* 2545*/ {"lac"},
2560 /* 2546*/ {"loc_area_ident"},
2561 /* 2547*/ {"rac"},
2562 /* 2548*/ {"cell_id_ie"},
2563 /* 2549*/ {"rfl_num"},
2564 /* 2550*/ {"flist"},
2565 /* 2551*/ {"rfl_num"},
2566 /* 2552*/ {"rfl_cont_len"},
2567 /* 2553*/ {"rfl_cont"},
2568 /* 2554*/ {"rfl_num"},
2569 /* 2555*/ {"ma_len"},
2570 /* 2556*/ {"ma_map"},
2571 /* 2557*/ {"arfcn_index"},
2572 /* 2558*/ {"hsn"},
2573 /* 2559*/ {"rfl_num_list"},
2574 /* 2560*/ {"flag"},
2575 /* 2561*/ {"ma_struct"},
2576 /* 2562*/ {"arfcn_index_list"},
2577 /* 2563*/ {"ma_num"},
2578 /* 2564*/ {"gprs_ms_alloc_ie"},
2579 /* 2565*/ {"maio"},
2580 /* 2566*/ {"gprs_ms_alloc_ie"},
2581 /* 2567*/ {"tsc"},
2582 /* 2568*/ {"flag"},
2583 /* 2569*/ {"flag2"},
2584 /* 2570*/ {"arfcn"},
2585 /* 2571*/ {"indi_encod"},
2586 /* 2572*/ {"di_encod1"},
2587 /* 2573*/ {"di_encod2"},
2588 /* 2574*/ {"pb"},
2589 /* 2575*/ {"tsc"},
2590 /* 2576*/ {"tn"},
2591 /* 2577*/ {"flag"},
2592 /* 2578*/ {"flag2"},
2593 /* 2579*/ {"arfcn"},
2594 /* 2580*/ {"maio"},
2595 /* 2581*/ {"maio"},
2596 /* 2582*/ {"ts_alloc"},
2597 /* 2583*/ {"arfcn"},
2598 /* 2584*/ {"ts_alloc"},
2599 /* 2585*/ {"ma_num"},
2600 /* 2586*/ {"h_pccch_c"},
2601 /* 2587*/ {"tsc"},
2602 /* 2588*/ {"flag"},
2603 /* 2589*/ {"nh_pccch_c"},
2604 /* 2590*/ {"ma_h_s1"},
2605 /* 2591*/ {"gprs_c_hyst"},
2606 /* 2592*/ {"c31_hyst"},
2607 /* 2593*/ {"c32_qual"},
2608 /* 2594*/ {"rab_acc_re"},
2609 /* 2595*/ {"t_resel"},
2610 /* 2596*/ {"ra_re_hyst"},
2611 /* 2597*/ {"gprs_prio_class"},
2612 /* 2598*/ {"gprs_hcs_thr"},
2613 /* 2599*/ {"cell_ba"},
2614 /* 2600*/ {"exc_acc"},
2615 /* 2601*/ {"gprs_rxlev_access_min"},
2616 /* 2602*/ {"txpwr_max_cch"},
2617 /* 2603*/ {"hcs_par"},
2618 /* 2604*/ {"multi_band_rep"},
2619 /* 2605*/ {"pbcch_loc"},
2620 /* 2606*/ {"psi1_rep_per"},
2621 /* 2607*/ {"flag"},
2622 /* 2608*/ {"si13_loc"},
2623 /* 2609*/ {"si13_pbcch_s1"},
2624 /* 2610*/ {"gprs_rxlev_access_min"},
2625 /* 2611*/ {"txpwr_max_cch"},
2626 /* 2612*/ {"gprs_temp_offset"},
2627 /* 2613*/ {"gprs_penalty_time"},
2628 /* 2614*/ {"cell_ba"},
2629 /* 2615*/ {"exc_acc"},
2630 /* 2616*/ {"same_ra_scell"},
2631 /* 2617*/ {"cs_par_s1"},
2632 /* 2618*/ {"cs_par_s2"},
2633 /* 2619*/ {"gprs_resel_off"},
2634 /* 2620*/ {"hcs_par"},
2635 /* 2621*/ {"si13_pbcch"},
2636 /* 2622*/ {"ncc"},
2637 /* 2623*/ {"exc_acc"},
2638 /* 2624*/ {"gprs_rxlev_access_min"},
2639 /* 2625*/ {"txpwr_max_cch"},
2640 /* 2626*/ {"gprs_prio_class"},
2641 /* 2627*/ {"gprs_hcs_thr"},
2642 /* 2628*/ {"si13_pbcch"},
2643 /* 2629*/ {"gprs_temp_offset"},
2644 /* 2630*/ {"gprs_penalty_time"},
2645 /* 2631*/ {"gprs_resel_off"},
2646 /* 2632*/ {"ma_num"},
2647 /* 2633*/ {"maio"},
2648 /* 2634*/ {"flag"},
2649 /* 2635*/ {"arfcn"},
2650 /* 2636*/ {"ma_num_maio"},
2651 /* 2637*/ {"ts_alloc"},
2652 /* 2638*/ {"chan_group"},
2653 /* 2639*/ {"chan_group"},
2654 /* 2640*/ {"chan_list2"},
2655 /* 2641*/ {"num_rfreq"},
2656 /* 2642*/ {"rfreq_index"},
2657 /* 2643*/ {"si13_cm"},
2658 /* 2644*/ {"gprs_ms_alloc_ie"},
2659 /* 2645*/ {"alpha"},
2660 /* 2646*/ {"t_avg_w"},
2661 /* 2647*/ {"t_avg_t"},
2662 /* 2648*/ {"pc_meas_chan"},
2663 /* 2649*/ {"n_avg_i"},
2664 /* 2650*/ {"rac"},
2665 /* 2651*/ {"spgc_ccch_sup"},
2666 /* 2652*/ {"prio_acc_thr"},
2667 /* 2653*/ {"ctrl_order"},
2668 /* 2654*/ {"gprs_cell_opt"},
2669 /* 2655*/ {"psi13_pwr_par"},
2670 /* 2656*/ {"freq_n"},
2671 /* 2657*/ {"bsic"},
2672 /* 2658*/ {"rxlev_n"},
2673 /* 2659*/ {"nc_mode"},
2674 /* 2660*/ {"rxlev_scell"},
2675 /* 2661*/ {"i_scell"},
2676 /* 2662*/ {"num_nc_meas"},
2677 /* 2663*/ {"nc_meas_s1"},
2678 /* 2664*/ {"ilev0"},
2679 /* 2665*/ {"ilev1"},
2680 /* 2666*/ {"ilev2"},
2681 /* 2667*/ {"ilev3"},
2682 /* 2668*/ {"ilev4"},
2683 /* 2669*/ {"ilev5"},
2684 /* 2670*/ {"ilev6"},
2685 /* 2671*/ {"ilev7"},
2686 /* 2672*/ {"c_value"},
2687 /* 2673*/ {"rxqual"},
2688 /* 2674*/ {"signvar"},
2689 /* 2675*/ {"ilev"},
2690 /* 2676*/ {"ilevabs0"},
2691 /* 2677*/ {"ilevabs1"},
2692 /* 2678*/ {"ilevabs2"},
2693 /* 2679*/ {"ilevabs3"},
2694 /* 2680*/ {"ilevabs4"},
2695 /* 2681*/ {"ilevabs5"},
2696 /* 2682*/ {"ilevabs6"},
2697 /* 2683*/ {"ilevabs7"},
2698 /* 2684*/ {"freq_n"},
2699 /* 2685*/ {"bsic"},
2700 /* 2686*/ {"rxlev_n"},
2701 /* 2687*/ {"xrep_type"},
2702 /* 2688*/ {"ilev_abs"},
2703 /* 2689*/ {"num_meas"},
2704 /* 2690*/ {"ext_mp_s1"},
2705 /* 2691*/ {"usf"},
2706 /* 2692*/ {"usf"},
2707 /* 2693*/ {"gamma"},
2708 /* 2694*/ {"plev"},
2709 /* 2695*/ {"ac_class"},
2710 /* 2696*/ {"max_retrans"},
2711 /* 2697*/ {"s_prach"},
2712 /* 2698*/ {"tx_int"},
2713 /* 2699*/ {"pers_lev"},
2714 /* 2700*/ {"f_ack_ind"},
2715 /* 2701*/ {"ssn"},
2716 /* 2702*/ {"rbb"},
2717 /* 2703*/ {"ms_id_len"},
2718 /* 2704*/ {"ident_digit"},
2719 /* 2705*/ {"flag"},
2720 /* 2706*/ {"tmsi_field"},
2721 /* 2707*/ {"ms_id"},
2722 /* 2708*/ {"chan_need"},
2723 /* 2709*/ {"emlpp_prio"},
2724 /* 2710*/ {"flag"},
2725 /* 2711*/ {"ptmsi"},
2726 /* 2712*/ {"ms_id"},
2727 /* 2713*/ {"flag"},
2728 /* 2714*/ {"rep_page_s1"},
2729 /* 2715*/ {"rep_page_s2"},
2730 /* 2716*/ {"t1"},
2731 /* 2717*/ {"t3"},
2732 /* 2718*/ {"t2"},
2733 /* 2719*/ {"flag"},
2734 /* 2720*/ {"rel"},
2735 /* 2721*/ {"abs"},
2736 /* 2722*/ {"meas_start_grr"},
2737 /* 2723*/ {"meas_inter"},
2738 /* 2724*/ {"meas_bitmap"},
2739 /* 2725*/ {"tbf_s_time"},
2740 /* 2726*/ {"ts_alloc"},
2741 /* 2727*/ {""},
2742 /* 2728*/ {"flag"},
2743 /* 2729*/ {"block_struct"},
2744 /* 2730*/ {"alloc_map"},
2745 /* 2731*/ {"final_alloc"},
2746 /* 2732*/ {"flag"},
2747 /* 2733*/ {"ts_overr"},
2748 /* 2734*/ {"fa_s2"},
2749 /* 2735*/ {"access_info"},
2750 /* 2736*/ {"fn_mod"},
2751 /* 2737*/ {"flag"},
2752 /* 2738*/ {"glob_tfi"},
2753 /* 2739*/ {"flag2"},
2754 /* 2740*/ {"tlli_value"},
2755 /* 2741*/ {"flag3"},
2756 /* 2742*/ {"tqi"},
2757 /* 2743*/ {"req_ref_p"},
2758 /* 2744*/ {"flag"},
2759 /* 2745*/ {"req_ref_p"},
2760 /* 2746*/ {"glob_tfi"},
2761 /* 2747*/ {"flag"},
2762 /* 2748*/ {"tlli_value"},
2763 /* 2749*/ {"req_ref_tfi"},
2764 /* 2750*/ {"wait"},
2765 /* 2751*/ {"gamma"},
2766 /* 2752*/ {"alpha"},
2767 /* 2753*/ {"gamma_tn"},
2768 /* 2754*/ {"ul_tfi_assign"},
2769 /* 2755*/ {"final_alloc"},
2770 /* 2756*/ {"dl_ctrl_ts"},
2771 /* 2757*/ {"bts_pwr_ctrl"},
2772 /* 2758*/ {"flag"},
2773 /* 2759*/ {"ts_alloc"},
2774 /* 2760*/ {"pwr_par"},
2775 /* 2761*/ {"half_dupelx"},
2776 /* 2762*/ {"tbf_s_time"},
2777 /* 2763*/ {""},
2778 /* 2764*/ {"flag2"},
2779 /* 2765*/ {"block_struct"},
2780 /* 2766*/ {"alloc_map"},
2781 /* 2767*/ {"flag"},
2782 /* 2768*/ {"ul_ts_alloc"},
2783 /* 2769*/ {"pwr_par"},
2784 /* 2770*/ {"final_alloc"},
2785 /* 2771*/ {"dl_ctrl_ts"},
2786 /* 2772*/ {"bts_pwr_ctrl"},
2787 /* 2773*/ {"meas_map"},
2788 /* 2774*/ {"tbf_s_time"},
2789 /* 2775*/ {""},
2790 /* 2776*/ {"flag2"},
2791 /* 2777*/ {"block_struct"},
2792 /* 2778*/ {"alloc_map"},
2793 /* 2779*/ {"usf_g"},
2794 /* 2780*/ {"alpha"},
2795 /* 2781*/ {"usf_array"},
2796 /* 2782*/ {"xdyn_alloc"},
2797 /* 2783*/ {"flag2"},
2798 /* 2784*/ {"p0"},
2799 /* 2785*/ {"pr_mode"},
2800 /* 2786*/ {"usf_grant"},
2801 /* 2787*/ {"ul_tfi_assign"},
2802 /* 2788*/ {"rlc_db_granted"},
2803 /* 2789*/ {"tbf_s_time"},
2804 /* 2790*/ {"flag"},
2805 /* 2791*/ {"tn_alloc"},
2806 /* 2792*/ {"tn_alloc_pwr"},
2807 /* 2793*/ {"alpha"},
2808 /* 2794*/ {"gamma"},
2809 /* 2795*/ {"tn"},
2810 /* 2796*/ {"alf_gam"},
2811 /* 2797*/ {"bts_pwr_ctrl"},
2812 /* 2798*/ {"tbf_s_time"},
2813 /* 2799*/ {"freq_diff"},
2814 /* 2800*/ {"start_freq"},
2815 /* 2801*/ {"nr_freq"},
2816 /* 2802*/ {"freq_diff_len"},
2817 /* 2803*/ {"freq_diff_struct"},
2818 /* 2804*/ {"flag"},
2819 /* 2805*/ {"xrep_type"},
2820 /* 2806*/ {"ncc_permitted"},
2821 /* 2807*/ {"int_freq"},
2822 /* 2808*/ {"xrep_per"},
2823 /* 2809*/ {"xfreq_list"},
2824 /* 2810*/ {"xfreq_list2"},
2825 /* 2811*/ {"xmeas_order"},
2826 /* 2812*/ {"em1"},
2827 /* 2813*/ {"freq_diff_struct"},
2828 /* 2814*/ {"bsic"},
2829 /* 2815*/ {"cs_par"},
2830 /* 2816*/ {"start_freq"},
2831 /* 2817*/ {"bsic"},
2832 /* 2818*/ {"cs_par"},
2833 /* 2819*/ {"n_rest"},
2834 /* 2820*/ {"freq_diff_len"},
2835 /* 2821*/ {"ncell_par_rest"},
2836 /* 2822*/ {"freq_diff_struct"},
2837 /* 2823*/ {"bsic"},
2838 /* 2824*/ {"cs_par"},
2839 /* 2825*/ {"start_freq"},
2840 /* 2826*/ {"bsic"},
2841 /* 2827*/ {"cs_par"},
2842 /* 2828*/ {"nr_freq"},
2843 /* 2829*/ {"freq_diff_len"},
2844 /* 2830*/ {"afreq_s"},
2845 /* 2831*/ {"list_rf"},
2846 /* 2832*/ {"list_af"},
2847 /* 2833*/ {"xdyn_alloc"},
2848 /* 2834*/ {"flag2"},
2849 /* 2835*/ {"p0"},
2850 /* 2836*/ {"pr_mode"},
2851 /* 2837*/ {"usf_grant"},
2852 /* 2838*/ {"rlc_db_granted"},
2853 /* 2839*/ {"tbf_s_time"},
2854 /* 2840*/ {"flag"},
2855 /* 2841*/ {"tn_alloc"},
2856 /* 2842*/ {"tn_alloc_pwr"},
2857 /* 2843*/ {"non_drx_per"},
2858 /* 2844*/ {"rep_per_i"},
2859 /* 2845*/ {"rep_per_t"},
2860 /* 2846*/ {"ctrl_order"},
2861 /* 2847*/ {"nc_meas_per"},
2862 /* 2848*/ {"nc_meas_par"},
2863 /* 2849*/ {"nc_freq_list"},
2864 /* 2850*/ {"att"},
2865 /* 2851*/ {"t3212"},
2866 /* 2852*/ {"neci"},
2867 /* 2853*/ {"pwcr"},
2868 /* 2854*/ {"dtx"},
2869 /* 2855*/ {"rl_timeout"},
2870 /* 2856*/ {"bs_ag_blks_res"},
2871 /* 2857*/ {"ccch_conf"},
2872 /* 2858*/ {"bs_pa_mfrms"},
2873 /* 2859*/ {"max_retrans"},
2874 /* 2860*/ {"tx_integer"},
2875 /* 2861*/ {"ec"},
2876 /* 2862*/ {"txpwr_max_cch"},
2877 /* 2863*/ {"ext_bits"},
2878 /* 2864*/ {"freq_diff_struct"},
2879 /* 2865*/ {"same_ra_scell"},
2880 /* 2866*/ {"cell_ba"},
2881 /* 2867*/ {"bcc"},
2882 /* 2868*/ {"start_freq"},
2883 /* 2869*/ {"same_ra_scell"},
2884 /* 2870*/ {"cell_ba"},
2885 /* 2871*/ {"bcc"},
2886 /* 2872*/ {"n_r_cells"},
2887 /* 2873*/ {"freq_diff_len"},
2888 /* 2874*/ {"ncell_par2_s2"},
2889 /* 2875*/ {"ncell_par2_s1"},
2890 /* 2876*/ {"para_ptr"},
2891 /* 2877*/ {"generation"},
2892 /* 2878*/ {"ncell_par2_des"},
2893 /* 2879*/ {"ncell_par2_set"},
2894 /* 2880*/ {"ncell_par"},
2895 /* 2881*/ {"ncell_par2"},
2896 /* 2882*/ {"cell_id"},
2897 /* 2883*/ {"non_gprs_opt"},
2898 /* 2884*/ {"rfl"},
2899 /* 2885*/ {"cell_alloc"},
2900 /* 2886*/ {"gprs_ms_alloc"},
2901 /* 2887*/ {"pccch_des"},
2902 /* 2888*/ {"add_reject"},
2903 /* 2889*/ {"freq_par"},
2904 /* 2890*/ {"dl_tfi_assign"},
2905 /* 2891*/ {"pwr_par"},
2906 /* 2892*/ {"tbf_s_time"},
2907 /* 2893*/ {"meas_map"},
2908 /* 2894*/ {"rep_page_info"},
2909 /* 2895*/ {"ta_index"},
2910 /* 2896*/ {"ta_tn"},
2911 /* 2897*/ {"ta_value"},
2912 /* 2898*/ {"ta_index_tn"},
2913 /* 2899*/ {"chan_coding_cmd"},
2914 /* 2900*/ {"ack_nack_des"},
2915 /* 2901*/ {"cr_tlli"},
2916 /* 2902*/ {"pta"},
2917 /* 2903*/ {"pwr_par"},
2918 /* 2904*/ {"ext_bits"},
2919 /* 2905*/ {"f_alloc_ack"},
2920 /* 2906*/ {"psi1_rep_per"},
2921 /* 2907*/ {"pbcch_des"},
2922 /* 2908*/ {"arfcn"},
2923 /* 2909*/ {"bsic"},
2924 /* 2910*/ {"nc_meas_par_list"},
2925 /* 2911*/ {"im_rel_c0"},
2926 /* 2912*/ {"gsm_target_cell"},
2927 /* 2913*/ {"tqi"},
2928 /* 2914*/ {"req_ref_p"},
2929 /* 2915*/ {"flag"},
2930 /* 2916*/ {"glob_tfi"},
2931 /* 2917*/ {"tqi_req_ref_p"},
2932 /* 2918*/ {"msg_type"},
2933 /* 2919*/ {"page_mode"},
2934 /* 2920*/ {"reject"},
2935 /* 2921*/ {"add_reject_trnc_grp"},
2936 /* 2922*/ {""},
2937 /* 2923*/ {"msg_type"},
2938 /* 2924*/ {"page_mode"},
2939 /* 2925*/ {""},
2940 /* 2926*/ {"req_ref_p"},
2941 /* 2927*/ {"tqi"},
2942 /* 2928*/ {""},
2943 /* 2929*/ {"msg_type"},
2944 /* 2930*/ {"access_type"},
2945 /* 2931*/ {"flag"},
2946 /* 2932*/ {"glob_tfi"},
2947 /* 2933*/ {"tlli_value"},
2948 /* 2934*/ {"ra_cap"},
2949 /* 2935*/ {"chan_req_des"},
2950 /* 2936*/ {"ma_ch_mark"},
2951 /* 2937*/ {"c_value"},
2952 /* 2938*/ {"signvar"},
2953 /* 2939*/ {"ilev"},
2954 /* 2940*/ {""},
2955 /* 2941*/ {"msg_type"},
2956 /* 2942*/ {"page_mode"},
2957 /* 2943*/ {"pers_lev"},
2958 /* 2944*/ {"add3"},
2959 /* 2945*/ {"egprs_flag"},
2960 /* 2946*/ {"chan_coding_cmd"},
2961 /* 2947*/ {"tlli_chan_coding"},
2962 /* 2948*/ {"pta"},
2963 /* 2949*/ {"freq_par"},
2964 /* 2950*/ {"flag"},
2965 /* 2951*/ {"flag2"},
2966 /* 2952*/ {"dyn_alloc_p"},
2967 /* 2953*/ {"sin_alloc"},
2968 /* 2954*/ {"f_alloc_ul"},
2969 /* 2955*/ {""},
2970 /* 2956*/ {"msg_type"},
2971 /* 2957*/ {"page_mode"},
2972 /* 2958*/ {"pers_lev"},
2973 /* 2959*/ {"add1"},
2974 /* 2960*/ {""},
2975 /* 2961*/ {"mac_mode"},
2976 /* 2962*/ {"rlc_mode"},
2977 /* 2963*/ {"ctrl_ack"},
2978 /* 2964*/ {"ts_alloc"},
2979 /* 2965*/ {"pta"},
2980 /* 2966*/ {"bts_pwr_ctrl"},
2981 /* 2967*/ {"pda_trnc_grp"},
2982 /* 2968*/ {""},
2983 /* 2969*/ {"msg_type"},
2984 /* 2970*/ {"page_mode"},
2985 /* 2971*/ {""},
2986 /* 2972*/ {"glob_tfi"},
2987 /* 2973*/ {"ul_release"},
2988 /* 2974*/ {"dl_release"},
2989 /* 2975*/ {"rel_cause"},
2990 /* 2976*/ {""},
2991 /* 2977*/ {"msg_type"},
2992 /* 2978*/ {"page_mode"},
2993 /* 2979*/ {"pers_lev"},
2994 /* 2980*/ {"nln"},
2995 /* 2981*/ {"rep_page_info_trnc_grp"},
2996 /* 2982*/ {""},
2997 /* 2983*/ {"msg_type"},
2998 /* 2984*/ {"dl_tfi"},
2999 /* 2985*/ {"ack_nack_des"},
3000 /* 2986*/ {"chan_req_des"},
3001 /* 2987*/ {"chan_qual_rep"},
3002 /* 2988*/ {""},
3003 /* 2989*/ {"msg_type"},
3004 /* 2990*/ {"page_mode"},
3005 /* 2991*/ {""},
3006 /* 2992*/ {"ul_tfi"},
3007 /* 2993*/ {"egprs_flag"},
3008 /* 2994*/ {"gprs_ul_ack_nack_info"},
3009 /* 2995*/ {""},
3010 /* 2996*/ {"msg_type"},
3011 /* 2997*/ {"page_mode"},
3012 /* 2998*/ {"pbcch_change_ma"},
3013 /* 2999*/ {"psi_change_field"},
3014 /* 3000*/ {"psi1_rep_per"},
3015 /* 3001*/ {"psi_cnt_lr"},
3016 /* 3002*/ {"psi_cnt_hr"},
3017 /* 3003*/ {"meas_order"},
3018 /* 3004*/ {"gprs_cell_opt"},
3019 /* 3005*/ {"prach_ctrl_par"},
3020 /* 3006*/ {"pccch_org_par"},
3021 /* 3007*/ {"g_pwr_par"},
3022 /* 3008*/ {"psi_status_ind"},
3023 /* 3009*/ {""},
3024 /* 3010*/ {"msg_type"},
3025 /* 3011*/ {"page_mode"},
3026 /* 3012*/ {"psi2_cm"},
3027 /* 3013*/ {"psi2_ind"},
3028 /* 3014*/ {"psi2_cnt"},
3029 /* 3015*/ {"psi_2_trnc_grp"},
3030 /* 3016*/ {""},
3031 /* 3017*/ {"msg_type"},
3032 /* 3018*/ {"page_mode"},
3033 /* 3019*/ {"psi3_cm"},
3034 /* 3020*/ {"psi3bis_cnt"},
3035 /* 3021*/ {"scell_par"},
3036 /* 3022*/ {"gen_cell_par"},
3037 /* 3023*/ {"ncell_par"},
3038 /* 3024*/ {""},
3039 /* 3025*/ {"msg_type"},
3040 /* 3026*/ {"page_mode"},
3041 /* 3027*/ {"psi3_cm"},
3042 /* 3028*/ {"psi3bis_ind"},
3043 /* 3029*/ {"psi3bis_cnt"},
3044 /* 3030*/ {"ncell_par_trnc_grp"},
3045 /* 3031*/ {""},
3046 /* 3032*/ {"msg_type"},
3047 /* 3033*/ {"page_mode"},
3048 /* 3034*/ {"psi4_cm"},
3049 /* 3035*/ {"psi4_ind"},
3050 /* 3036*/ {"psi4_cnt"},
3051 /* 3037*/ {"chan_list_imeas"},
3052 /* 3038*/ {""},
3053 /* 3039*/ {"msg_type"},
3054 /* 3040*/ {"page_mode"},
3055 /* 3041*/ {"psi5_cm"},
3056 /* 3042*/ {"psi5_ind"},
3057 /* 3043*/ {"psi5_cnt"},
3058 /* 3044*/ {"nc_meas_par"},
3059 /* 3045*/ {"xmeas_par"},
3060 /* 3046*/ {""},
3061 /* 3047*/ {"msg_type"},
3062 /* 3048*/ {"page_mode"},
3063 /* 3049*/ {"bcch_change_ma"},
3064 /* 3050*/ {"si_change_ma"},
3065 /* 3051*/ {"si13_cm_gprs_alloc"},
3066 /* 3052*/ {"flag"},
3067 /* 3053*/ {"pbcch_n_pres"},
3068 /* 3054*/ {"psi1_pbcch_info"},
3069 /* 3055*/ {""},
3070 /* 3056*/ {"msg_type"},
3071 /* 3057*/ {"tlli_value"},
3072 /* 3058*/ {"pctrl_ack"},
3073 /* 3059*/ {""},
3074 /* 3060*/ {"msg_type"},
3075 /* 3061*/ {"tlli_value"},
3076 /* 3062*/ {"arfcn"},
3077 /* 3063*/ {"bsic"},
3078 /* 3064*/ {"failure_cause"},
3079 /* 3065*/ {""},
3080 /* 3066*/ {"msg_type"},
3081 /* 3067*/ {"page_mode"},
3082 /* 3068*/ {"add1"},
3083 /* 3069*/ {"flag"},
3084 /* 3070*/ {"tgt_cell_gsm_info"},
3085 /* 3071*/ {""},
3086 /* 3072*/ {"msg_type"},
3087 /* 3073*/ {"page_mode"},
3088 /* 3074*/ {"pers_lev"},
3089 /* 3075*/ {""},
3090 /* 3076*/ {"msg_type"},
3091 /* 3077*/ {"tlli_value"},
3092 /* 3078*/ {""},
3093 /* 3079*/ {"msg_type"},
3094 /* 3080*/ {"tlli_value"},
3095 /* 3081*/ {"psi5_cm"},
3096 /* 3082*/ {"flag"},
3097 /* 3083*/ {"nc_meas_rep"},
3098 /* 3084*/ {"xmeas_rep"},
3099 /* 3085*/ {""},
3100 /* 3086*/ {"msg_type"},
3101 /* 3087*/ {"page_mode"},
3102 /* 3088*/ {"add1"},
3103 /* 3089*/ {"pmo_index"},
3104 /* 3090*/ {"pmo_cnt"},
3105 /* 3091*/ {"nc_meas_par_list"},
3106 /* 3092*/ {"xmeas_par"},
3107 /* 3093*/ {""},
3108 /* 3094*/ {"msg_type"},
3109 /* 3095*/ {"glob_tfi"},
3110 /* 3096*/ {"tbf_cause"},
3111 /* 3097*/ {"msg_type2"},
3112 /* 3098*/ {""},
3113 /* 3099*/ {"msg_type"},
3114 /* 3100*/ {"page_mode"},
3115 /* 3101*/ {"flag"},
3116 /* 3102*/ {"ts_available"},
3117 /* 3103*/ {""},
3118 /* 3104*/ {"msg_type"},
3119 /* 3105*/ {"page_mode"},
3120 /* 3106*/ {"add2"},
3121 /* 3107*/ {"ctrl_ack_type"},
3122 /* 3108*/ {""},
3123 /* 3109*/ {"msg_type"},
3124 /* 3110*/ {"page_mode"},
3125 /* 3111*/ {"add4"},
3126 /* 3112*/ {""},
3127 /* 3113*/ {"g_pwr_par"},
3128 /* 3114*/ {"flag"},
3129 /* 3115*/ {"flag2"},
3130 /* 3116*/ {"gpta"},
3131 /* 3117*/ {"pwr_par"},
3132 /* 3118*/ {""},
3133 /* 3119*/ {"msg_type"},
3134 /* 3120*/ {"page_mode"},
3135 /* 3121*/ {"prach_ctrl_par"},
3136 /* 3122*/ {""},
3137 /* 3123*/ {"msg_type"},
3138 /* 3124*/ {"glob_tfi"},
3139 /* 3125*/ {"pbcch_change_ma"},
3140 /* 3126*/ {"received_psi"},
3141 /* 3127*/ {"unknown_psi"},
3142 /* 3128*/ {""},
3143 /* 3129*/ {"msg_type"},
3144 /* 3130*/ {"page_mode"},
3145 /* 3131*/ {""},
3146 /* 3132*/ {"glob_tfi"},
3147 /* 3133*/ {"egprs_flag"},
3148 /* 3134*/ {"chan_coding_cmd"},
3149 /* 3135*/ {"gpta"},
3150 /* 3136*/ {"dl_rlc_mode"},
3151 /* 3137*/ {"ctrl_ack"},
3152 /* 3138*/ {"dl_tfi"},
3153 /* 3139*/ {"ul_tfi"},
3154 /* 3140*/ {"dl_tn_alloc"},
3155 /* 3141*/ {"freq_par"},
3156 /* 3142*/ {"flag"},
3157 /* 3143*/ {"dyn_alloc_ts"},
3158 /* 3144*/ {"f_alloc_re"},
3159 /* 3145*/ {""},
3160 /* 3146*/ {""},
3161 /* 3147*/ {"nsapi_val"},
3162 /* 3148*/ {""},
3163 /* 3149*/ {"sapi"},
3164 /* 3150*/ {""},
3165 /* 3151*/ {"delay"},
3166 /* 3152*/ {"reliability"},
3167 /* 3153*/ {"peak"},
3168 /* 3154*/ {""},
3169 /* 3155*/ {"precedence"},
3170 /* 3156*/ {""},
3171 /* 3157*/ {"mean"},
3172 /* 3158*/ {"tc"},
3173 /* 3159*/ {"order"},
3174 /* 3160*/ {"del_err_sdu"},
3175 /* 3161*/ {"max_sdu"},
3176 /* 3162*/ {"max_br_ul"},
3177 /* 3163*/ {"max_br_dl"},
3178 /* 3164*/ {"ber"},
3179 /* 3165*/ {"sdu_err_ratio"},
3180 /* 3166*/ {"xfer_delay"},
3181 /* 3167*/ {"handling_pri"},
3182 /* 3168*/ {"guar_br_ul"},
3183 /* 3169*/ {"guar_br_dl"},
3184 /* 3170*/ {"tlv_len"},
3185 /* 3171*/ {"qos_r97"},
3186 /* 3172*/ {"qos_r99"},
3187 /* 3173*/ {""},
3188 /* 3174*/ {"pdp_type_org"},
3189 /* 3175*/ {"pdp_type_no"},
3190 /* 3176*/ {"add_info"},
3191 /* 3177*/ {"apn_value"},
3192 /* 3178*/ {"pco_value"},
3193 /* 3179*/ {""},
3194 /* 3180*/ {"radio_prio_val"},
3195 /* 3181*/ {"ti_flag"},
3196 /* 3182*/ {"ti_val"},
3197 /* 3183*/ {""},
3198 /* 3184*/ {"ti_ext_flag"},
3199 /* 3185*/ {"ti_ext_val"},
3200 /* 3186*/ {""},
3201 /* 3187*/ {"tear_down_flag"},
3202 /* 3188*/ {"sm_cause_val"},
3203 /* 3189*/ {""},
3204 /* 3190*/ {"pfi_val"},
3205 /* 3191*/ {"src_addr"},
3206 /* 3192*/ {"addr_mask"},
3207 /* 3193*/ {"src_addr"},
3208 /* 3194*/ {"addr_mask"},
3209 /* 3195*/ {"tft_protocol_val"},
3210 /* 3196*/ {"low_limit"},
3211 /* 3197*/ {"high_limit"},
3212 /* 3198*/ {"low_limit"},
3213 /* 3199*/ {"ipsec_spi_value"},
3214 /* 3200*/ {"tos_value"},
3215 /* 3201*/ {"tos_mask"},
3216 /* 3202*/ {"flow_label_value"},
3217 /* 3203*/ {"tft_ipv4_addr_mask"},
3218 /* 3204*/ {"tft_ipv6_addr_mask"},
3219 /* 3205*/ {"tft_protocol"},
3220 /* 3206*/ {"tft_dest_port_range"},
3221 /* 3207*/ {"tft_dest_port"},
3222 /* 3208*/ {"tft_src_port_range"},
3223 /* 3209*/ {"tft_src_port"},
3224 /* 3210*/ {"tft_ipsec_spi"},
3225 /* 3211*/ {"tft_tos_and_mask"},
3226 /* 3212*/ {"tft_flow_label"},
3227 /* 3213*/ {"tft_filter_id"},
3228 /* 3214*/ {"tft_filter_prio"},
3229 /* 3215*/ {"tft_filter_entry"},
3230 /* 3216*/ {"tft_opcode"},
3231 /* 3217*/ {""},
3232 /* 3218*/ {"tft_filter_count"},
3233 /* 3219*/ {"tft_filter_id"},
3234 /* 3220*/ {"tft_filter"},
3235 /* 3221*/ {"msg_type"},
3236 /* 3222*/ {"nsapi"},
3237 /* 3223*/ {"llc_sapi"},
3238 /* 3224*/ {"qos"},
3239 /* 3225*/ {"address"},
3240 /* 3226*/ {"apn"},
3241 /* 3227*/ {"pco"},
3242 /* 3228*/ {"msg_type"},
3243 /* 3229*/ {"llc_sapi"},
3244 /* 3230*/ {"qos"},
3245 /* 3231*/ {"radio_prio"},
3246 /* 3232*/ {""},
3247 /* 3233*/ {"address"},
3248 /* 3234*/ {"pco"},
3249 /* 3235*/ {"pfi"},
3250 /* 3236*/ {"msg_type"},
3251 /* 3237*/ {"sm_cause"},
3252 /* 3238*/ {"pco"},
3253 /* 3239*/ {"msg_type"},
3254 /* 3240*/ {"nsapi"},
3255 /* 3241*/ {"llc_sapi"},
3256 /* 3242*/ {"qos"},
3257 /* 3243*/ {"linked_ti"},
3258 /* 3244*/ {"tft"},
3259 /* 3245*/ {"msg_type"},
3260 /* 3246*/ {"llc_sapi"},
3261 /* 3247*/ {"qos"},
3262 /* 3248*/ {"radio_prio"},
3263 /* 3249*/ {""},
3264 /* 3250*/ {"pfi"},
3265 /* 3251*/ {"msg_type"},
3266 /* 3252*/ {"sm_cause"},
3267 /* 3253*/ {"msg_type"},
3268 /* 3254*/ {"address"},
3269 /* 3255*/ {"apn"},
3270 /* 3256*/ {"msg_type"},
3271 /* 3257*/ {"sm_cause"},
3272 /* 3258*/ {"msg_type"},
3273 /* 3259*/ {"radio_prio"},
3274 /* 3260*/ {""},
3275 /* 3261*/ {"llc_sapi"},
3276 /* 3262*/ {"qos"},
3277 /* 3263*/ {"address"},
3278 /* 3264*/ {"pfi"},
3279 /* 3265*/ {"msg_type"},
3280 /* 3266*/ {"llc_sapi"},
3281 /* 3267*/ {"qos"},
3282 /* 3268*/ {"tft"},
3283 /* 3269*/ {"msg_type"},
3284 /* 3270*/ {"msg_type"},
3285 /* 3271*/ {"qos"},
3286 /* 3272*/ {"llc_sapi"},
3287 /* 3273*/ {"radio_prio"},
3288 /* 3274*/ {"pfi"},
3289 /* 3275*/ {"msg_type"},
3290 /* 3276*/ {"sm_cause"},
3291 /* 3277*/ {"msg_type"},
3292 /* 3278*/ {"sm_cause"},
3293 /* 3279*/ {"tear_down"},
3294 /* 3280*/ {"msg_type"},
3295 /* 3281*/ {"msg_type"},
3296 /* 3282*/ {"sm_cause"},
3297 /*65535*/ {""},