view fpga/sniffer-basic/Makefile @ 6:7db5fd6646df

fpga/sniffer-basic: initial version
author Mychaela Falconia <falcon@freecalypso.org>
date Mon, 21 Aug 2023 00:52:00 +0000
parents
children 7cab8e0dd937
line wrap: on
line source

VSRC=	clk_edge.v reset_detect.v sniff_rx.v sync_inputs.v top.v uart_tx.v
PCF=	icestick.pcf
PROJ=	fpga

all:	${PROJ}.bin

${PROJ}.json:	${VSRC}
	./yosys-wrap top $@ ${VSRC}

${PROJ}.asc:	${PROJ}.json ${PCF}
	nextpnr-ice40 --hx1k --package tq144 --asc $@ --pcf ${PCF} \
		--json ${PROJ}.json

${PROJ}.bin:	${PROJ}.asc
	icepack $< $@

clean:
	rm -f *.json *.asc *.bin