view fpga/sniffer-basic/Makefile @ 13:82da4b7835b7

FPGA Makefile: generate timing.rpt
author Mychaela Falconia <falcon@freecalypso.org>
date Mon, 21 Aug 2023 01:12:16 +0000
parents d29dcfa78124
children af1a9732da1f
line wrap: on
line source

VSRC=	clk_edge.v reset_detect.v sniff_rx.v sync_inputs.v top.v uart_tx.v
PCF=	icestick.pcf
PROJ=	fpga

all:	${PROJ}.bin timing.rpt

${PROJ}.json:	${VSRC}
	yosys-wrap top $@ ${VSRC} | tee synthesis.rpt

${PROJ}.asc:	${PROJ}.json ${PCF}
	nextpnr-ice40 --hx1k --package tq144 --asc $@ --pcf ${PCF} \
		--json ${PROJ}.json -l pnr.rpt

${PROJ}.bin:	${PROJ}.asc
	icepack $< $@

timing.rpt:	${PROJ}.asc
	icetime -d hx1k -mtr $@ $<

clean:
	rm -f *.json *.asc *.bin