view fpga/sniffer-basic/Makefile @ 19:e92ab75ce6a8

FPGA make clean: rm *.rpt too
author Mychaela Falconia <falcon@freecalypso.org>
date Mon, 21 Aug 2023 19:26:24 +0000
parents af1a9732da1f
children 990ecafdddb4
line wrap: on
line source

VSRC=	clk_edge.v reset_detect.v sniff_rx.v sync_inputs.v top.v uart_tx.v
PCF=	icestick.pcf
PROJ=	fpga

all:	${PROJ}.bin timing.rpt

${PROJ}.json:	${VSRC}
	../tools/yosys-wrap top $@ ${VSRC} | tee synthesis.rpt

${PROJ}.asc:	${PROJ}.json ${PCF}
	nextpnr-ice40 --hx1k --package tq144 --asc $@ --pcf ${PCF} \
		--json ${PROJ}.json -l pnr.rpt

${PROJ}.bin:	${PROJ}.asc
	icepack $< $@

timing.rpt:	${PROJ}.asc
	icetime -d hx1k -mtr $@ $<

clean:
	rm -f *.json *.asc *.bin *.rpt