diff fpga/sniffer-basic/Makefile @ 18:af1a9732da1f

FPGA build: include yosys-wrap in this repository
author Mychaela Falconia <falcon@freecalypso.org>
date Mon, 21 Aug 2023 19:25:35 +0000
parents 82da4b7835b7
children e92ab75ce6a8
line wrap: on
line diff
--- a/fpga/sniffer-basic/Makefile	Mon Aug 21 06:50:55 2023 +0000
+++ b/fpga/sniffer-basic/Makefile	Mon Aug 21 19:25:35 2023 +0000
@@ -5,7 +5,7 @@
 all:	${PROJ}.bin timing.rpt
 
 ${PROJ}.json:	${VSRC}
-	yosys-wrap top $@ ${VSRC} | tee synthesis.rpt
+	../tools/yosys-wrap top $@ ${VSRC} | tee synthesis.rpt
 
 ${PROJ}.asc:	${PROJ}.json ${PCF}
 	nextpnr-ice40 --hx1k --package tq144 --asc $@ --pcf ${PCF} \